• 통큰쿠폰이벤트-통합
  • 통합검색(1,150)
  • 리포트(1,057)
  • 시험자료(51)
  • 논문(22)
  • 자기소개서(14)
  • 방송통신대(5)
  • ppt테마(1)

"signal processing" 검색결과 181-200 / 1,150건

  • 심장 초음파 (Echocardiography)
    repeated multiple times for “ live ” imaging Speed of US in tissue and rapid signal processing allow ... returned signal to produce image Modifies image to maximize information Displays image to user Stores ... Ao-LA RV-LVMultiple pulses sent out along adjacent scan lines Sector formed by multiple scan lines Process
    리포트 | 65페이지 | 2,000원 | 등록일 2018.09.20
  • How Do Communication Systems Affect Organization
    an absolutely minimal amount information and little likelihood of signal loss. ... , reception of signal, message decoding and finally interpretation of the message by the recipients. ... Today we have at least some media which are so noise free that compressed signals are constructed with
    리포트 | 12페이지 | 1,500원 | 등록일 2017.11.26
  • vhdl MEMORY 설계
    변수는 architecture와 begin 사이에 선언한다.signal 변수 a, b, c는 즉각적으로 갱신되지 않는다.din이 a에 들어가고 a->b->c->dout 이런 식으로한 ... 기존에 있는 데이터형을 새로운 데이터를 지은다.0에서 3, 즉 4개의 8bit 메모리 영역을 선언또한 내가 지정한 data형으로 새로운 signal mem을 선언했다.②(26line ... 구문 내에 선언한다.variable 변수 a, b, c는 signal과 달리값이 동시에 갱신된다.din이 a에 들어가고 a->dout (한 클락에 바로 나옴)[시물레이션 결과]시물레이션
    리포트 | 10페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.13
  • [연세대학교 물리학과 물리학실험(A-1)] 8번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    an electronic circuit element designed to be used with other circuit elements to perform a specified signal-processing ... As we driven the signal, the output voltage was displayed on the oscilloscope. ... Experimental Processes1) Two Comparators(1) Observe the output voltage.(2) Change the input frequency
    리포트 | 11페이지 | 5,000원 | 등록일 2019.07.23
  • Time-resolved Thermal Lens Calorimetry
    (oscilloscope computer program를 실행해 signal을 얻은 후, signal의 파형을 excel data로 변환하여, 필요한 구간을 뽑아내어 exponential ... 조정해 laser가 oscilloscope로 바로 들어갈 수 있도록 한다. sample이 담긴 cuvet을 기계 안에 넣는다. iris와 초점거리를 조정해 oscilloscope의 signal이 ... 이번 실험에서는 non-radiative process에 대해 알아보고자 한다.
    리포트 | 10페이지 | 4,000원 | 등록일 2018.09.17 | 수정일 2023.08.21
  • 발표자료 - MLCC & LTCC
    by cutting DC signal Features of MLCC 6Features of MLCC What is MLCC Merits ? ... side roads as a sort of ‘Filtering circuits’ Coupling circuits - Coupling two different circuits in AC signal ... Eliminate wide range of noise and generate clean electricity By passing circuits - Separate unnecessary signals
    리포트 | 46페이지 | 6,000원 | 등록일 2017.11.08
  • Superior labrum anterior to posterior (SLAP) tear, 대본있음
    , under biceps anchor , often with laterally extending signal D : type III IV : transect ing entire ... : thin smooth contrast rim , tracking along sublabral recess C : type II : wide irregular contrast signal ... process, traumatic Hx , activities exacerbating pain, PMHx : important on H/TDifferential diagnosis
    리포트 | 16페이지 | 1,000원 | 등록일 2018.10.29
  • 디지털 신호처리_(FFT 분석과 LPF, FIR 적용)
    FIR ( Finite Impulse Response) In signal processing, a finite impulse response (FIR) filter is a filter ... (reduces the amplitude of) signals with frequencies higher than the cutoff frequency. ... LPF ( Low Pass Filter) A low-pass filter is a filter that passes low-frequency signals and attenuates
    리포트 | 17페이지 | 2,000원 | 등록일 2016.08.22
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    load_50 : std_logic;signal clk_50 : std_logic;signal cnt_50 : std_logic_vector (11 downto 0);signal ... clk_100k : std_logic;signal cnt_100k : std_logic_vector (7 downto 0);signal load_50 : std_logic;signal ... 마지막으로 내부 시그널과 포트와의 연결을 하는 부분을 잊지 말아야한다.소스를 코드로 올리기 위해 다음과 같은 작업을 해야한다.
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • 유닉스 Signal Control 구현
    나머지 6개신호들은자식을생성, 자식은 “SignalHistory.txt” 파일에아래와같이작성후종료 • 예시의첫번째줄의 PID, Time, Signal 구분에대한표현은자유이며, 그이후에시그널을통해서추가되는줄에대해 ... 자식은파일스트림입출력을이용하여자신의 pid(getpid())와 신호를받은시간(tm 구조체를이용), 신호종류를쓰고종료 • 단, SIGTERM 신호를받을경우에만자식을생성하지않고 “The Signal Control Process
    리포트 | 2,000원 | 등록일 2018.11.25
  • 1. 본인이 사용 중이거나 또는 최신 스마트폰을 1 개 선정하고 아래의 사항을 A4 용지 2페이지 이내로 서술하라.2. QR코드에 대하여 다음의 내용을 A4 용지 2페이지 내외로 서술하라.
    그래서 넣은게 DSP (Digital signal process)이다. 이 DSP의 역할은 오직 동영상 처리에만 그 목적을 두고 있다. ... 요즘 스마트폰의 기능중 주의 깊게 봐야하는것이 카메라의 기능인데, 이 ISP(image signal process)가 가장 중요한 역할을 하고 있다.S7의 경우는 초점을 보다 빠르게
    방송통신대 | 6페이지 | 3,000원 | 등록일 2017.08.19
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    이 후 signal 형태로 6개의 7segment를 모두 작동시킬 때 사용되는 클록 clk_dc을 설정해 주었다. ... , clk_chat, Clean_out, D, cnt_dc의 signal을 선언해 주었으며 이후에 추가적으로 설명하겠다. ... 그러므로 코드를 구성할 때 dot_seg 함수의 경우에만 모든 숫자의 경우에 대하여 seg7 signal에 점을 나타내는 가장 오른쯕 비트에 1이 입력된다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • [연세대학교 물리학과 물리학실험(A-1)] 9번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    an electronic circuit element designed to be used with other circuit elements to perform a specified signal-processing ... The plus sign identifies the non-inverting input, auickly.< The Ideal Operational Amplifier >The ideal ... Operation Amplifier >< An Operational Amplifier Including Power Supplies >There are plus and minus input signs
    리포트 | 13페이지 | 5,000원 | 등록일 2019.07.23
  • 전기전자기초실험 Audio Amplifier Circuit Design 결과레포트 (영어)
    Capacitor stacks the half-wave signal which is flowing periodically. ... After that it release the signal as DC. But the ripple is represented. ... The main factor is that it might be influenced by signal noise, voltage dropping and so on.- Measure
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.01
  • [면역학실험]면역 시냅스 형성 분석
    위에서 언급했듯이 T cell이 activate되려면 TCR로부터의 signal만이 아니라, CD28등 의로부터의 signal이 동시에 요구된다. ... (하나만 signal을 보내면 제대로 interaction을 하지 않는다.) 결국, 이 모든 T cell synapse를 통하여 T cell이 activation되는 것이다. ... 그리고 이후에 구성 단백질을 펩티드로 잘게 쪼개서 T cell로 내보내는 데, 이 과정을antigen process & presentation이라고 한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2018.02.06 | 수정일 2020.08.05
  • 청각학과 디지털 신호처리 (Digital Signal Processing in Audiology)
    한국청각언어재활학회 임덕환
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.24 | 수정일 2017.02.01
  • [연세대학교 물리학과 물리학실험(A-1)] 2번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    The reactanceX _{C} is inversely proportional to the capacitanceC and the frequencyf of the signal. ... high-pass filter function.5) Reactance, Impedance and Phase ShiftTo explain the phenomena of filtering process ... waveform and expression of the output voltage.(4) Set frequency values with some increments and repeat processes
    리포트 | 9페이지 | 5,000원 | 등록일 2019.07.23
  • [연세대학교 물리학과 물리학실험(A-1)] 7번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    an electronic circuit element designed to be used with other circuit elements to perform a specified signal-processing ... We repeated the same process both with square and sine waves. ... Operation Amplifier >< An Operational Amplifier Including Power Supplies >There are plus and minus input signs
    리포트 | 13페이지 | 5,000원 | 등록일 2019.07.23
  • posterior tibial tendon syndrome
    tendonMRI Classification of Tear Type 1 partial Type 2 partial Type 3 complete Hypertrophy Increased signal ... malleolus Tarsal tunnel : relatively hypovascular Acute direction changeEtiology Etiology Inflammatory process ... medial longitudinal arch Hindfoot valgus Difficulty standing on toesClinical Features ‘Too many toes’ sign
    리포트 | 16페이지 | 1,000원 | 등록일 2018.10.29
  • PLC Overview (영문발표자료)
    conversion and isolation between the internal logic-level signals inside the PLC and the field's high ... - Less Provides the voltage needed to run the primary PLC components I/O MODULES - Wiring Provides signal ... level signal.Major Components of a Common PLCPROCESSOR - Less Provides intelligence to command and govern
    리포트 | 28페이지 | 1,000원 | 등록일 2017.04.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대