• 통큰쿠폰이벤트-통합
  • 통합검색(1,150)
  • 리포트(1,057)
  • 시험자료(51)
  • 논문(22)
  • 자기소개서(14)
  • 방송통신대(5)
  • ppt테마(1)

"signal processing" 검색결과 221-240 / 1,150건

  • (컴퓨터의이해)컴퓨터에서 입력장치, 중앙처리장치, 출력장치, 기억장치에 대하여 자세히 설명
    그래서 넣은게 DSP (Digital signal process)이다. 이 DSP의 역할은 오직 동영상 처리에만 그 목적을 두고 있다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2018.09.06
  • TSM 의 개념과 정의
    express bus service, congestion pricing Class B : Enhance roadway supply(improve traffic flow) ex) signal ... TSM is a planning and operating process designed to conserve resources energy and to improve the quality
    리포트 | 14페이지 | 2,500원 | 등록일 2018.12.06
  • 졸업작품 기획서 [미디어아트와 퍼포먼스의 융합]
    사고에 의한 개념적인 접근이 주가 된다고 판단하였습니다.1)NJP magnet tvhttps://youtu.be/L-TsvjJAfmg2)‘시각적 노이즈를 기본재료로 복합적인 디지털 시그널 ... 이 분야에서의 작업을 경험해보기 위해 Arduino, VVVV, Processing등을 리서칭 하고 있다.영상을 이용한 퍼포밍 예시1927 [golem] http://www.19-27 ... Kinect(동작인식장치)를 활용한 작업 예시Biborg Lab - Interactive Glitch Art: Motion Sensor with Kinect 1 and Processing
    리포트 | 7페이지 | 3,000원 | 등록일 2019.06.26 | 수정일 2021.04.22
  • 영어 스피치-Demonstration Speech Outline(Demonstrative speech)_How to get great grades on your classes
    last year’s exam and ask the question which you don’t understand..Brakelight: [near end of speech, signal ... audience that you are about to review the steps in the process]You can apply this steps from next semester
    리포트 | 2페이지 | 1,000원 | 등록일 2018.11.24
  • [완]상지의지의 모든것
    압박할 때 상방으로 올라가는 힘을 감소시킴 . elbow lock control strap: 견관절을 약간 하강하면서 견관절을 rical switches, myoelectric signals ... sensate thumbLevel of Amputation Wrist disarticulation Removal of the radius and ulna to the styloid process ... of different texture Emotional counseling Promote the patient to participate in the decision making process
    리포트 | 57페이지 | 4,000원 | 등록일 2018.10.25 | 수정일 2018.10.29
  • 논리회로설계실습 순차회로(카운터) 결과보고서
    최종적으로 Process문 바깥에 signal X를 Y에 입력시켜 줌으로써 출력을 Y로 나타내었다.존슨 카운터의 소스코드를 작성한 후 각각의 LED에 대응하는 핀과 CLK과 Reset을 ... 이러한 하드웨어 동작으로 코드를 설계하기 위하여 다음과 같이 코드를 작성하였다.0000000000000001+코드를 좀 더 분석해 보면 클록이 한주기 발생하였을 경우 8비트 signal ... 따라서 Y_10은 클록의 역할을 하며 16Hz의 주파수를 가진다.분주기가 설정된 Y_10 클록과 Reset 버튼을 Sensitivitiy list로 사용하여 Process 문으로 설계
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.10
  • 영어학입문 An Introduction to Language 교재 요약 (how we know what we know: method in psycholinguistics)
    In addition, the signal that the brain produces is too small. ... completely perfect as they can’t tell us where in the brain a signal originated. ... Off-line is the term given to measures that provide information about the end state of processing processes
    리포트 | 2페이지 | 1,000원 | 등록일 2015.11.29
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    0;--신호등의 진행 방향(동, 서, 남, 북)을 나타내는 변수이다.signal rotate: std_logic;--신호등의 한쪽 방향의 진행이 완료된 것을 나타내는 변수이다.signal ... flicker : std_logic;signal clk1hz : std_logic;--클럭을 위한 변수이다.signal cnt: integer :=0;--잔상효과를 위한 변수이다.begin ... --클럭 진행을 위한 코드이다.process(clk, clk1hz)variable cnt1hz : integer :=0;beginif rising_edge(clk) thenif cnt1hz
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 메카트로닉스 창의력 경진대회 장려상 수상작 - 애완견로봇 아이디어
    ; a processing unit for receiving said signal from said sound receiving unit; a storage element; (중략) ... emitted from an object, said at least one sound receiving element transforming said sound waves into a signal ... regulation at all stagesnetwork complexityiterated cascades from complex network wiringcombinatorial signal
    리포트 | 67페이지 | 4,000원 | 등록일 2017.09.01 | 수정일 2017.09.14
  • Application and Reliability of LIWC
    Function words are words whose purpose is more to signal grammatical relationship than the lexical meaning ... Other tools, like unigrams, all remove function words while processing data. ... While it is possible to make a distinction between dynamic and categorical thinkers in Robinson et als
    리포트 | 3페이지 | 1,500원 | 등록일 2019.04.22 | 수정일 2019.06.08
  • neuropathic pain (신경통)
    as a direct consequence of a lesion or by disease of the parts of the nervous system that normally signal ... complete primary afferenof a spinal segment, many dorsal horn cells begin to fire spontaneously A similar process ... Mechanisms of Disease: neuropathic pain—a clinical perspectiveIntroduction Neuropathic pain syndromes
    리포트 | 17페이지 | 1,000원 | 등록일 2018.10.29
  • 통신, dsp,통신공학 전공 & PT 면접 대비 정리 자료 (전자공학부,전자통신)
    합쳐진 signal을 다시 개개의 signal로 나누기도 한다. ... .- 일반적으로 filtering 의 목적은 input signal의 quality를 향상하거나 ( 예를 들면 노이즈의 제거 또는 감소), signal 로부터의 정보를 알아내거나 , ... Digital filter는 digital signal에 filtering algorithm을 적용하기 위한 hardware 또는software routine을 말한다.Digital
    자기소개서 | 10페이지 | 3,000원 | 등록일 2014.08.27 | 수정일 2014.09.12
  • 컨쥬게이션 염료의 흡수 스펙트럼 이론발표
    Detector is a device that converts radiation into electrical current or voltage for proper display and signal ... processing.Theory 8 - UV-Visible SpectrometerUV-VIS spectrometer 10ml Volumetric flask X 3 measuring
    리포트 | 46페이지 | 1,500원 | 등록일 2019.03.20
  • (냉치료, 수치료, 간섭파, 레이저) CRYOTHERAPY, HYDROTHERAPY, ICT, laser
    Current TherapyInterference Constructive interference Destructive interference 2 alternating current signals ... precipitation in low temperature Paroxysmal cold hemoglobinuria Cold hypersensitivity ; mast cell-mediated process
    리포트 | 14페이지 | 1,500원 | 등록일 2018.11.30
  • 성균관대학교 암의과학 중간까지 강의요약
    , Increased sensitivity to growth signals, Resistance to external and internal death signal, Resistance ... of cell growth signal: Mitosis and cell proliferation/differentiationSurvival Stage: Self-sufficiency ... lymphocytes and IFN-gamma): immune-surveillance is impurfectRise and fall of cancerderegulation in growth signal
    리포트 | 2페이지 | 1,500원 | 등록일 2014.10.26
  • 소통관련 깔끔하고 심플한 PPT 디자인 심플 톡톡
    communis , meaning to share) is the exchange of thoughts, messages, or information, as by speech, visuals, signals ... Latin communis , meaning tos the exchange of thoughts, messages, or information, as by speech, visuals, signals ... communis , meaning to share) is the exchange of thoughts, messages, or information, as by speech, visuals, signals
    ppt테마 | 13페이지 | 1,500원 | 등록일 2012.09.05
  • [부동산 영문보고서] Three opportunities for the construction industry in 2018 (2018년 한국 건설산업의 3가지 기회)
    emphasis on funding than the existing law.■ High oil pricesSigns of 'new oil price era' are positive signals ... The UK is in the process of constructing 13 new nuclear power plants. ... Railway (KTX) Local civil service budgets have greatly increased in the parliamentary deliberation process
    리포트 | 3페이지 | 1,000원 | 등록일 2018.02.18 | 수정일 2019.05.20
  • 03 논리회로설계실험 예비보고서
    := 1;signal temp2 : integer := 2;signal temp3 : integer := 3;beginprocessbeginwait on trigger;temp1 ... ;end Behavioral;architecture Behavioral of PTJ issignal trigger, sum : integer := 0;signal temp1 : integer ... trigger;temp1 := temp2 + temp3;temp2 := temp3;temp3 := temp1 + temp2;sum := temp1 + temp2 + temp3;end process
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • [과제#8] shell 프로그램 응용
    (SIGINT, handler); // 인터럽트 신호 발생시 핸들러로 취급signal(SIGQUIT, handler); // QUIT 신호 발생시 핸들러로 취급for(;;){again ... times 함수를 각각 호출하여 프로세스가 실행하는데 걸린 시간을 구한다.● 종료시 받게 되는 SIG 값을 log.txt파일에 저장 후 종료▷ 종료 신호(SIGINT, SIGQUIT)를 signal ... n, status;char *args[NARGS];char command[BUFSIZ];char *infile, *outfile;bufsplit(" \t\n", 0, NULL);signal
    리포트 | 11페이지 | 1,000원 | 등록일 2011.11.14
  • Biology of Melanocytes
    activity UV effect on PAR-2 activity : more in skin type II,IIISignals from keratinocytes, autocrine signals ... layer 1 melanocyte per 5-6 basal keratinocytes Melanin 합성 Melanosome ( 멜라닌소체 ) 에 저장 Melanocyte dendrite process ... neural crest cells( neurons,glia,smooth muscle,craniofacial bone, etc.) 50 th day of gestation Many signaling
    리포트 | 36페이지 | 1,000원 | 등록일 2018.07.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대