• 통큰쿠폰이벤트-통합
  • 통합검색(657)
  • 리포트(652)
  • 시험자료(2)
  • 논문(1)
  • 서식(1)
  • 자기소개서(1)

"xor게이트 실험" 검색결과 181-200 / 657건

  • 전가산기와 반가산기 ppt
    실험회로 구성 1bit 전가산기 1bit 전감산기배타적 OR 게이트 입력이 같으면 `0`, 다르면 `1`의 출력이 나오는 소자 A B A xor B 0 0 0 0 1 1 1 0 1 ... 기본개념 배타적 or 게이트 반가산기와 전가산기 전가산기를 병렬로 연결해 n bit 계산 만들기 전감산기 2. ... B i+1 =1,D=1 이 출력되는 회로이다 .LogicWorks 를 활용한 논리회로1bit 전감산기의 회로실험 X=1 , Y=0, B i =0 을 입력했을때 , B i+1 =0,D
    리포트 | 16페이지 | 4,000원 | 등록일 2019.09.24
  • ring,jhonson counter 예비레포트
    설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산 기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍 할 수 있다. ... 실험 제목 [Verilog Basic, FPGA]2. 실험 목적-fpga를 통해 ring counter, jhonson counter 시뮬레이션3. ... -합성= 변환(Translation)+최적화(optimization)여기서 변환이란 동작적 또는 RTL 기술을 구조적 기술로 변환하는 것으로 게이트 레벨을 표현한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    실험 목적 :15-(1) 래치로 SPDT 스위치의 되튐에 의한 영향을 제거하는 방법에 대한 입증15-(2) NAND 게이트와 인버터를 이용한 게이티드 D 래치 구성 및 시험15-(3 ... 게이트 :2입력의 Exclusive OR게이트가 6개 들어있다. [2]5.7400 quad NAND 게이트 :2입력의 NAND게이트가 4개 들어있다. [2]6.7404 hex 인버터 ... 실험 장비 :1. LED 적색, 녹색, 황색2.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 전기및디지털회로실험 실험6 결과보고서
    사용했던 기본 게이트들을 위의 게이트로 치환하였다면 사용되는 게이트의 숫자가 매우 늘어나 실험시간 이내에 회로를 모두 완성하는 것이 거의 불가능하였을 것이다.T2 ... 실험 개요논리게이트의 조합으로 복잡한 논리적 함수관계를 구현하는 연습을 행한다. ... 해당되는 부분을 고친 후 실험을 진행하였다.2번 문항에서 예비보고서 작성시 회로도를 그리며 누락된 논리게이트가 존재하여 실험 도중 추가하여 회로상의 잘못된 부분을 고쳤다.
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.12
  • 최소한의 IC칩을 사용해 7-segment 구동 실험
    실험 결과 및 검토229. 고찰301. ... (출력은 되지만 정상적인 숫자가 아니다.)7-Segment를 최소한의 게이트로 작동시키려면 7-Segment의 진리표를 작성하고 출력이 1이 아닌 0으로 묶고 SOP 식으로 나타내고 ... 되어서 집중적으로 XOR Gate로 묶어 나갔다.
    리포트 | 31페이지 | 2,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 결과보고서(3) Shift Register 시프트레지스터
    (e)는 의사 불규칙 이진수열 (PRBS : Pseu-do-Random Binary Sequence) 발생기를 구성한 회로이다. 7474 D 플립플롭 두 개와 7486 XOR 게이트를 ... 각 상태마다 각각의 출력이 있기 때문에 디코딩 게이트가 필요 없다는 장점을 갖는다. 데이터가 순환되는 것을 확인한 실험이었다. ... 실험제목 :Shift Register- 결과보고서[결과 및고찰]회로도결과값실험 사진CLKQ _{3}Q _{2}Q _{1}Q _{0}000*************010041000500006000070000
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실습 3: 행위수준 모델링을 이용하여 2-input AND 게이트 설계d. 실습 4: 2-input XOR 게이트 설계e. ... 실험 장비 및 재료가. 실험 장비HBE Combo-II SE3. ... 실험 이론(1) HDL의 종류a.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털 회로 실험-MUX와 DMUX
    -이 회로는 어떤 게이트와 기능이 같은지 설명하시오.XOR게이트와 기능이 같다.실험순서3A _{n}B _{n}C _{n-1`}S _{n}C _{n}0*************00110110010101011100111111 ... -이 회로는 어떤 게이트와 기능이 같은지 설명하시오.실험순서3. ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등)1) 실험 내용 요약 : 이번 실험은 MUX와 DMUX의 동작원리를 이해하고 MUX와 DMUX의 특성을 확인하고
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, 스위치, LED, 디지털테스터,AND, XOR, OR게이트5. 예비보고서 문제 풀이1. ... 실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... 논리조합회로의 설계 실험에서 반가산기와 전가산기의 입력과 출력 사이의 관계를 진리표로부터 유도한 후 논리연산자의 연산 법칙을 이용해 최대한 간단히 정리하시오.6. 실험순서6-1.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 서울시립대 전자전기설계2(전전설2) 2주차 결과보고서
    사진처럼 XOR게이트와 AND게이트를 그리고 A, B, S, C 각각의 입출력 포트를 지정하였다.또한 프로그램으로 작성한 입출력 포트 각각에 실습2에서 지정한 버튼과 LED를 알맞게 ... 2019년 전자전기컴퓨터설계실험22주차 실험보고서학번 : 2015-610019성명 : 윤종민1. ... 실습1(자일링스 기본 사용법과 AND게이트 작성)실습1에서는 콤보박스와 자일링스 기본 툴을 이용해 간단한 AND게이트를 디자인해보았다.
    리포트 | 9페이지 | 1,500원 | 등록일 2019.10.13
  • 홍익대학교 전전 실험1 프로젝트 보고서
    INPUT AND 게이트7486 XOR 게이트7432 OR 게이트7474 D플립플롭7 Segment 표시기◆ BCD 7 Segment Decoders/Driver 7447BCD 카운터로부터 ... 실험1 프로젝트 보고서목차1. 실험의 목적2. 동작순서 및 원리3. 실험 회로도 및 시뮬레이션4. 관련이론5. 결과 및 고찰1. ... 관련이론♣사용부품7402 NOR 게이트7408 2-INPUT AND 게이트74390 칩BCD 7 Segment Decoders/Driver 74477404 NOT 게이트7421 4-
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • 아날로그및디지털회로설계실습 실습6(위상 제어 루프(PLL))예비보고서
    주로 XOR 게이트를 사용하여 만들며, VCO의 입력에 연결하면 VCO의 주파수가 주기적으로 변하는 모양이 될 것이다.VCO의 출력과 기준 신호가 위상 검출기에 인가되면 위상 차이에 ... 각각의 기능을 확인하기 위해 위와 같이 구성 요소의 출력단의 파형을 관찰하였다.관찰한 결과는 위와 같았다.VCO 출력단의 파형은 실험 6 에서처럼 펄스신호가 형성되어 관찰되었다.V ... , ceramic disk1개커패시터 100nF, ceramic disk1개커패시터 1uF, ceramic disk2개IC UA741 OP amp3개Inverter 74HC041개XOR
    리포트 | 11페이지 | 1,000원 | 등록일 2020.09.24
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 ( ... 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    시그널 temp의 글리치가 실제 실험에서도 무시 가능한 요소인지는 실험을 해야 알 수 있겠지만, 글리치 신호는 게이트를 거칠수록 약해질 것이라는 것은 예상할 수 있는 사실이다. ... .-4비트 가산기의 구현 조건1. 1bit full adder의 동작을 포함한다.2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다.3-1. ... 두개 이상의 변수가 한번에 변할 경우, 입력변수가 어떤 회로나 게이트를 거쳐 입력에 도달한다면 게이트 지연에 의해 각 입력변수들의 값이 변화하는 시각이 다를 수 있고, 이에 따라 원하지
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 논리회로실험 예비보고서1
    -Boolean equation : 위의 논리게이트에 ()로 명시한 부분이며 논리회로의 형태와 구조를 기술하기 위하여 필요한 수학적인 이론이다. ... gate>74HC08 : 2 input AND gate>74HC32 : 2 input OR gate>74HC86 : 2 input XOR gate2. ... ({bar{A+B}} = {bar{A}} BULLET {bar{B}} =C)InputOutputABCLLHHLHLHHHHL>XOR gate:두 입력값이 서로 반대의 값을 가질 경우
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • 디지털 논리회로 실험 8주차 D-FlipFlop 예비보고서
    실험 기기 및 부품D Flip-flop 7474, NOT 게이트 7404, NAND 게이트 7400, XOR 게이트 74865. ... 실험 목적2. 실험 이론3. 실험 준비4. 실험 기기 및 부품5. 주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1. ... 실험 과정 및 예상하는 이론적인 실험 결과1) 기본 실험END��bar { Q}00No change01No change10011110(1) [그림 1]과 Gated D Latch를
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.22
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서 1
    Boolean equation을 통하여 각각의 게이트에서 INPUT과 OUTPUT간의 상호 관계를 그림이 아닌 수식으로 알아 볼 수 있었고 실험을 통해 이런 게이트들의 동작을 확인해 ... PPT에 적힌 말의 뜻을 잘못 이해하여 예비 보고서에는 게이트만 바꿔서, 그러니까 두 개의 AND gate를 두 개의서 실험을 실시하는 것으로 이해하고 작성했다. ... 기본적인 logic gate들인 AND, OR, NOT, NAND, NOR, XOR gates에 대해 알아보고, 이러한 gate들로 구성된 논리회로를 Boolean equation으로
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    베릴로그의 게이트 수준의 회로 모델링에는 and, nand, or, nor, xor, xnor 등의 논리 게이트가 사용되며 0과 1로 구성된 두 개 이상의 입력과 하나의 출력을 가진다 ... 실험 제목 : Sequential logic design using Verilog2. ... 프리미티브 : 말 그대로 게이트의 원시(primitive), 기초 단위를 의미한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 디지털시스템실험 2주차 예비보고서
    Verilog의 목적Verilog HDL은 하드웨어 설계자가 저수준(게이트. ... 논리 연산자 중 비트 단위의 연산자는 다음과 같다.연산자의미&비트 AND|비트 OR~비트 NOT^비트 XOR^~, ~^비트 XNOR? ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목① FPGA 및 Verilog의 이해, Verilog를 통한 FPGA 프로그래밍
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    FDCE의 CE 값은 카운터의 TC 값을 쓰기 때문에 , 카운터는 이러한 방법으로 output을 내도록 만들어주거나 XOR 게이트에 의해 clear 되어 output을 내지 못하도록 ... 디지털 논리회로 실험 학기말 프로젝트1. ... 중간에 있는 xor gate 와 counter 는 timing 을 충족시켜준다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대