• 통큰쿠폰이벤트-통합
  • 통합검색(657)
  • 리포트(652)
  • 시험자료(2)
  • 논문(1)
  • 서식(1)
  • 자기소개서(1)

"xor게이트 실험" 검색결과 161-180 / 657건

  • 스위칭 회로 결과보고서 A+
    만약 회로에서 A나 B둘중 하나만 붙어있을 경우 XOR게이트실험해볼 수 있다. 1-9는 INPUT이 6개가 되는 회로로 라는 논리식을 구현한 결과이다. ... 또한 NOT게이트까지 있으므로 앞서 실험한 AND, OR, NOT 모두를 함께 실험한 결과라고 볼 수 있다. 1-8의 경우는 XNOR게이트실험한 것이다. ... 즉 A&B(AND게이트)를 실험으로 구현해본 것이다. 2번 실험(그림1-2)는 A, B둘중 하나라도 ON일 경우 LED에 불이 켜졌고 이는 OR게이트를 구현한 것이다.
    리포트 | 14페이지 | 1,500원 | 등록일 2020.03.05 | 수정일 2020.03.11
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    실험 장비-7474 dual D flip-flop-7404 hex inverter-7486 quad XOR-7476 dual J-K flip-flop4. ... 또한 NAND 게이트 또는 NOR 게이트로 구현 가능하다.Gate 형 d latch는 입력 d와 S-R 래치 동작을 제어해 주는 E 입력으로 구성된다. ... 실험 제목 [D-latch and D Flip-Flop J-K Flip-Flop]2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 시스템자동화공학 중간고사대체레포트
    D5 / P2 = D3 XOR D6 / P4 = D5 XOR D6 입니다.D3 = 0, D5 = 0, D6 = 0일 때, P1 = 0, P2 = 0, P4 = 0 입니다.왼쪽스위치부터 ... 이는 ①의 회로에서 확인할 수 있습니다.실험 내용 - 3실험 내용 2에서의 센서 3개의 출력과 녹색 LED를 그대로 3개의 Input, 1개의 Output으로 하겠습니다. ... , X = (A+C)∙B 이 식은 AND게이트 1개, OR게이트 1개로 이루어져 있습니다.
    리포트 | 31페이지 | 1,500원 | 등록일 2021.03.08
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍을 할 수 있다. ... 실험 목적-Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    T Flip-flop 역시 상승 에지트리거 플립플롭이므로 클럭이 0에서 1로 바뀌는 상승에지에서만 출력 값들이 변하는데 XOR 게이트의 진리표를 이해하면 출력값의 변화를 훨씬 쉽게 ... T의 값이 0이면 D Flip-Flop을 통해 나온 Q의 값이 XOR게이트의 입력값으로 들어가는데 Q가 0이면 출력값이 0이고, Q가 1이면 출력값이 1이므로 Q가 이전값을 유지하는 ... T의 값이 1이면 XOR게이트의 입력값으로 들어간 Q의 값이 0이면 출력값이 1이고, Q의 값이 1이면 출력값이 0이므로 Q가 toggle 되어 이전값의 보수값이 나오게 됨을 확인할
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    결론본 실험에서는 Xilinx ISE로 XOR, OR, AND 게이트, 반가산기, 전가산기들을 이용해 최종적으로 4 Bits Ripple Carry Adder를 설계한 후 콤보 박스에 ... 논리 게이트이다. ... 일반적으로 Xilinx ISE를 이용해 FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 디지털
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 홍익대_디지털논리회로실험_6주차 예비보고서_A+
    (2)에서 다루는 AB minus 1 기능에 대해 설명하시오.AB minus 1 기능은 A와 B를 입력으로 받는 AND 게이트의 결과값에서 1을 빼는 것이다. ... 디지털 논리실험 및 설계 6주차 예비보고서실험 준비1.1 ALU 74181의 datasheet을 읽고 네 자리 이진수의 덧셈을 74181을 이용하여 어떻게 구현할 수 있는지 설명하시오.ALU ... 그러므로 어떠한 두개의 네 자리 이진수를 입력하고 XOR 기능을 이용했을 때 (F3, F2, F1, F0) = (1, 1, 1, 1)이라면 그 두 입력이 같은 것 그 외의 경우에는
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    NOT을 이용한 XOR 설계이론값)ABX000011101110실험결과)A=0, B=0 A=0, B=1A=1, B=0 A=1, B=1ABX출력전압0000.2V0114.5V1014.5V1100.3V결과분석 ... 게이트를 만나 0이 된다.실험 5) AND-OR? ... 둘 다 1이라서 NOT 게이트에서 둘 다 0으로 출력되어 위 아래 AND 게이트 모두 한 쪽에 0이 있어, 모두 0으로 출력되어, 최종 OR 게이트도 둘 다 0이 들어가서 0이 출력된다.실험
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 전기및디지털회로실험 실험2 결과보고서
    이러한 회로를 어떤 용도로 사용할 수 있을지에 대하여 자신의 생각을 기술하라.: 실험 8에서는 XOR 게이트를 사용하여 각 게이트의 입력된 신호가 서로 다를 때에만 1이 출력되었다. ... 전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서10실험 고찰11실험실험 2. 기본 논리게이트2. ... 한 게이트의 출력 신호를 다른 게이트의 입력 신호로 이용할 수 있었는데,(3) 실험 5와 실험 6에서 각각 F1과 F2 사이에 어떤 관계가 나타나는지를 살펴보고 왜 이러한 관계가 나타나는지
    리포트 | 12페이지 | 1,000원 | 등록일 2024.03.12
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    2019년 전자전기컴퓨터설계실험23주차 사전보고서1. ... 실행하였고, 위 오른쪽 사진처럼 Gate Primitive를 사용하여 XOR게이트를 나타내는 코드를 작성하여 Synthesize를 성공적으로 실행하였다. ... 코드를 작성하고 Synthesize를 성공적으로 실행하였다.- 실습4위 왼쪽 사진처럼 비트 단위 연산자를 이용한 XOR게이트를 나타내는 코드를 작성하고 Synthesize를 성공적으로
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    [실습 4] Two-input XOR 게이트를 아래의 세가지 방법으로 각각 설계하고, 시뮬레이션으로 확인 후 장비를 이용하여 동작을 시험하시오. ... 전자전기컴퓨터설계실험Ⅱ결과리포트Lab-03 Basic Gates in Verilog작성일: 20.10.021. ... 실제 실습에서도 동일한 결과가 나왔고 모두 논리 gate XOR의 작동과 동일했다.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 논리 게이트 및 부울 함수의 구현 예비
    회로실험 예비보고서논리 게이트 및 부울 함수의 구현실험 제목논리 게이트 및 부울 함수의 구현실험 목적AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 ... Gate의 구조 및 기능을 습득한다.부울 대수를 사용한 논리회로의 표현방식 및 등가회로를 익힌다.실험 이론(원리)논리값(=진위값)참, 거짓을 의미하는 값으로 디지털 신호가 ON이면 ... AND 논리회로 타이밍 다이어그램은 다음과 같다.NAND 및 NOR 게이트NAND 게이트는 AND 게이트와 NOT 게이트를 결합한 회로이며, 입력 A, B가 모두 1일 때 AND 게이트
    리포트 | 8페이지 | 1,000원 | 등록일 2021.04.20
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    modeling을 활용하여 2-input AND 게이트 설계를 진행하시오.(4) Lab 4- Two-input XOR 게이트를 아래의 세가지 방법으로 각각 설계하고, 시뮬레이션으로 ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 8가. ... 5- Four-bit 데이터 a[3:0]와 b[3:0]의 XOR 출력 y[3:0]를 다음의 각 방법으로 구현하시오.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 아주대학교 논리회로실험 / 3번 실험 예비보고서
    이때 특이한 점은 입력 중 한 값을 S와 XOR 게이트로 연결하여 사용하면 덧셈과 뺄셈이 모두 가능하다는 점이다.논리 다이어그램3. ... 실험 이론조합 논리회로조합 논리회로는 기본 게이트들의 조합으로 이루어진 논리회로다. ... 마찬가지로 실험 원리에서 다루었듯, 전 감산기는 반감산기 2개와 OR게이트 1개를 이용하여 구성된다.2.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    .(3) Lab 3- Breadboard에 아래와 같이 XOR게이트 실습 회로를 구현한다.(4) Lab 4- Breadboard에 아래와 같이 반가산기 실습 회로를 구현한다.(5) ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥‥ 9가. ... Materials & Methods (실험 장비 및 재료와 실험 방법)가.
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 시립대 전전설2 Velilog 결과리포트 3주차
    AND, NAND, NOR 게이트를 설계해보고 장비와 연결하여 실험하였다. ... 실험 목적- Xilinx ISE 프로그램의 Verilog를 이용하여 로직 게이트를 설계하고 프로그래밍 해본다.2. ... 예상결과- Schematic을 이용하여 게이트를 직접 그려서 논리 회로를 설계하고 시뮬레이션 및 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 전기및디지털회로실험 실험2 예비보고서
    실험 기기예비보고서 문제풀이실험 순서참고 문헌실험실험 2. 기본 논리게이트2. ... 전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요이론 조사- 기본 논리게이트- 논리게이트 IC4. ... 실험기기테스터 / 직류전원장치 / 함수 발생기 / 오실로스코프 / 만능기판 / 만능기판용 전선 / 스트리퍼 / IC OR게이트 74LS32 1개, AND게이트 74LS08 1개, NOT게이트
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 실습 6. 위상 제어 루프(PLL)
    결론위상 제어 루프 회로는 입력 신호를 XOR논리 게이트를 이용한 비교기를 통해 출력신호와의 차이를 출력하고, 루프필터를 통해 직류전압에 가깝게 만들어준다. ... 위상 제어 루프(PLL)(조: 실험날짜: 보고서 제출날짜:)요약: 위상 제어 루프 회로를 구성하여 주파수 동기화의 원리를 이해하여 주파수를 변화시켜가며 동작주파수 대역을 확인하고, ... 아래 회로에서 사용된 인버터는 발진기의 출력파형을 위상 검출기에 사용된 XOR Logic의 동작 전압 (High 5V, Low 0V)로 맞추기 위한 버퍼로 사용된다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 서울시립대 전전설2 Lab-01 예비리포트 (2020 최신)
    따라서 개발 초기 단계에는 FPGA를 쓰고 대량생산이 필요한 단계에서는 Full custom IC를 주로 쓴다.이번 실험에서 사용하는 TTL gate는 논리 게이트를 내장한 표준 IC로 ... 실험목적디지털 설계의 장점과 TTL gate의 특성을 이해하고 OR gate, XOR gate, 가산기 등 여러 논리회로를 디자인한 후 실제로 설계하여 실험능력을 함양한다.2. ... 실험 내용- 실험 준비물TTL 7432(OR Gate), 7486(XOR Gate), 7408(AND Gate) 1개씩저항 4.7kΩ 3개, 330Ω 2개, LED Red와 green
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.10
  • 대입 자기소개서(이공계)
    예전에 저 혼자 만들어 보았던 가감산기의 구성요소인 AND, NOT, OR, XOR게이트의 작동 원리 연구를 주제로 삼았습니다. ... 회로를 만드는 것에 비해 게이트를 만드는 법은 한정적이었으며 실험실에 DC접지가 없어서 직접 게이트를 만드는 새로운 방법을 찾아야 했습니다. ... 평소 과학에 대한 관심과 호기심이 많았던 저는 과학 선생님의 배려로 언제든 실험실을 이용할 수 있게 되었고 이에 다양한 실험을 해볼 수 있는 기회를 얻을 수 있었습니다.실험실에는 저
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대