• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(25,413)
  • 리포트(21,654)
  • 자기소개서(1,328)
  • 시험자료(1,201)
  • 방송통신대(1,088)
  • 논문(88)
  • 서식(28)
  • ppt테마(11)
  • 노하우(10)
  • 이력서(5)

"논리설계" 검색결과 201-220 / 25,413건

  • 논리회로설계실험 반가산기 전가산기설계 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 조합 회로 설계-반가산기실험 목표반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 반가산기를 Behavioral modeling, Dataflow modeling, Structural modeling 방식으로 코딩하여 시뮬레이션 결과를 확인한다.반가산기진리표논리식과 논리회로논리논리회로소스코드동작적 ... _method=view&MAS_IDX=150825001511795>“02_조합회로+설계+-+반가산기+_+전가산기”, PDF, Retrieved march 19, 2017, from고찰반가산기는
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실습-FSM-예비보고서
    논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 수 있어 가장 많이 사용된다.GlitchGlitch는 시스템에서의 일시적 오류를 일컫는 말이며 논리 회로가 타이밍에서 벗어나는 것 등으로 본래 필요 없는 부분에 발생하는 펄스로서
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 프로젝트 라인트레이서
    논리회로설계 프로젝트 설계 보고서1. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로를 설계한다.line tracer 가 적외선 센서를 이용해 흰 줄을 따라 이동하도록 하는 것이 ... 설계의 목표이다.2.
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 경제논리를 적용하여 나의 과거 삶을 회고하고 미래 삶을 설계한다.
    경제논리에 비춰본 나의 삶목차서론 -------------------------------- 1본론 -------------------------------- 1결론 -------- ... 경제 논리에 의하면 한계효용이 한계비용보다 높은 것을 선택하는 것이 경제적이라고 하는데, 필자의 경우에 보면 운동으로 진학을 했을 때 한계효용은 지금까지 해왔던 운동에 대한 만족이라고
    리포트 | 5페이지 | 4,800원 | 등록일 2017.11.08
  • 논리설계 및 실험 전자시계 결과보고서
    002분반(화요일)논리회로 설계 및 실험23조 Term Project 결과 보고서(전자 시계)Dept. of Computer Science & Engineering/Pusan National ... Project 전자시계 제작에 관한 보고서로 Project의 최종 결과 및 Project 작동 법 사용한 회로 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리 ... 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현매뉴얼FPGA보드 기능현재
    리포트 | 9페이지 | 2,000원 | 등록일 2016.11.28
  • 데이터베이스 설계 논리적 DB설계 보고서
    MJ CINEMA 예매 시스템- 논리적 DB 설계 -보고서명 : 데이터베이스 설계 논리적 DB 설계제 출 일 : 2011. 11. 10(목)학 과 : 컴퓨터공학과과 목 : 데이터베이스 ... 설계담당교수 :팀 명 : 데이터베이스 설계 A반 1팀Copyright 2011 A.One 주식회사팀 장 -팀 원 -프로젝트 개요시스템 명MJ CINEMA 영화관 예매 시스템소 속데이터베이스설계 ... ·시스템 구성도⇒사용자 측면시스템 구성도시스템 명MJ CINEMA 영화관 예매 시스템소 속데이터베이스설계 A반 1팀서브시스템 명작성자작성일2011. 09. 27.
    리포트 | 37페이지 | 2,000원 | 등록일 2013.11.08 | 수정일 2013.11.10
  • 디지털실험및설계 결과1(논리게이트)
    디지털 논리실험 및 설계#1 기본 논리 게이트 (결과)담당교수님 : 교수님제출일자 : 2015. 03. 23조 :학번 :이름 :1. ... 실 험 결 과(1) 2 입력 NOR, XOR 게이트입력NOR (d)XOR (e)AB논리논리0*************00회로(d)는 NOR게이트로 실험에서 7402를사용하였다. ... 선들의 연결에 주의해서 해야한다.(3) 다른 소자에 의한 XOR게이트의 구성입력출력AB회로 (h)회로 (i)논리논리0000011110111100회로(h)는 NOT게이트 2개와AND게이트
    리포트 | 5페이지 | 1,500원 | 등록일 2015.12.05
  • 단일사례설계의 유형과 논리
    다중기초선 설계는 이처럼 다중기초선 설계논리는 둘 이상의 기초선을 동시에 시작한다. ... 단일사례설계의 유형과 논리목차1, AB 기본 단일사례설계2, ABA 설계3, ABAB 중지, 반전 설계4, 단일사례조사의 장단점5, 다중기초선 설계6, 다중요소(ABCD설계) 설계7 ... 충분히 과학적으로 검증 받지 않은 개입의 효과성에 관해 AB 설계논리적이고 경험적 증거를 제공할 수 있으며, 재현할 수 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2012.10.11 | 수정일 2021.08.06
  • vhdl 기본적인 논리회로 설계
    ·VHDL 설계 실습 결과보고서VHDL Lab_01일시2013-9-24전공실습시간학번이름제목기본적인 디지털 논리회로의 설계실습 목적디지털 논리회로는 schematic과 같이 그래픽으로 ... 본 실습에서는 기본 논리 게이트로 구성된 회로를 schematic과 VHDL로 각각 설계하여 시뮬레이션하고 DigComV32에 다운로드하는 과정을 실습함으로써 논리회로 설계 과정과 ... 설계하거나 VHDL과 같이 택스트로 프로그래밍하여 설계할 수이 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.30
  • 논리회로실험 설계 보고서
    설계에 대한 논리 연산 및 타이밍 동작은 시뮬레이션 될 수 있다.2. ... 1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 이형은 0과 1 뿐만 아니라 실제 논리 회로에서 논리 신호를 시뮬레이션하는 데 유용하다고 알려진 7개의 다른 값들도 포함한다.std_logic_vector전형적인 VHDL 프로그램에서
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로 자판기설계
    설계목표- IC소자를 이용한 자판기 시스템을 설계한다.- 설계 작품은 다음과 같은 기능을 갖는다.설 계 내 용① 돈 투입 버튼 부의 100원, 500원 버튼을 통하여 원하는 금액을 ... 회로도3.설계결과4. ... 목 차1설 계 목 표2회 로 도3설계결과4TROUBLE SHOOTING1.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • 사회과 문제중심 교육과정 설계 논리의 구안 (Framing the Design Logic of Problem-Centered Curriculum of Social Studies)
    한국사회교과교육학회 허수미
    논문 | 20페이지 | 4,900원 | 등록일 2015.03.24 | 수정일 2017.02.01
  • 논리회로설계실험 ALUkit (결과보고서)
    이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치( ... 똑 같은 ALU를 설계하였는데 이전에 기본게이트와는 다르게 kit를 활용하는데 있어서 상당히 복잡한 개념들이 새로 필요하였고 이에 대해 이해하며 kit를 활용한 설계에 있어 좀 더 ... 따라서 아래와 같이 클럭에 따라 번갈아 출력될 수 있도록 process를 설계한다.
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로, 디지털공학, 회로 설계
    ①:기본 논리게이트 설계 및 구현⑶ 설계 ②:불 대수와 드로르간의 정리 설계 및 구현⑷ 설계 ③:Exclusive-OR 게이트 설계 및 구현⑸ 설계 ④:가산기와 감산기 설계 및 구현⑹ ... 2010년 논리회로 설계보고서제 출 자 이 름제 출 자 학 번제 출 자 분 반담 당 교 수마 감 일 시제 출 일 시이 메 일 주 소점 수/ (점수/만점)목 차⑴ 시뮬레이터 요약⑵ 설계 ... ①:기본 논리게이트 설계 및 구현① NOT 게이트 회로① NOT 게이트 결과① NOT 게이트 진리표AX0110② OR 게이트 회로② OR 게이트 결과② OR 게이트 진리표BAX000011101111③
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 디지털 논리 TFF 회로 설계
    소개글스위치 레벨로 구현된 비동기 제어 입력 신호 t을 갖는 tff회로 설계 및 검증논리회로아래와 같은 진리표를 갖는 tffT clk Q1 rising 토글(Toggle,현재상태를
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.11
  • 논리설계및실험텀프로젝트 전자시계 제안서
    002분반(화요일)논리회로 설계 및 실험23조 Term Project 제안서 (전자시계)Dept. of Computer Science & Engineering/Pusan National ... 회로(디지털 시스템) 설계FLIP-FLOP과 REGISTER등을 이용하여 전자시계에 필요한 기능(Counter,분주기 등) 구현 및 최종 목표인 전자시계 구현과제 내용시계 : 현재 ... 약Term Project 전자시계 제작에 관한 보고서로 Project의 목표와 달성 과제, 추진 일정과 방법 등을 설명해둔 문서이다.목표FPGA BOARD와 FLOWRIAN을 이용한 논리
    리포트 | 6페이지 | 2,000원 | 등록일 2016.11.28
  • 퍼지 논리를 이용한 최적교통신호 현시설계에 관한 연구 (A Study for Optimal Phase Design of Traffic Signal Using Fuzzy Theory)
    대한교통학회 진현수, 홍유식, 김성환
    논문 | 17페이지 | 4,600원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 조합논리회로의 설계
    조합 논리 회로 설계 응용2진수로 된 2개의 입력이 모두 논리 1일 때만 1이 출력되는 시스템을 설계해 보자설계하고자 하는 시스템의 변수 정의와 분석(그림4-7) 시스템의 블록도조합 ... 디지털 논리 회로 2학년 1학기 4. 조합 논리 회로 1. 조합 논리 회로의 설계 ( / )시스템을 분석하고 진리표를 작성할 수 있다. ... 설계는 진리표를 가지고 디지털 회로를 구성하는 것이다.111101110000BA출력입력진리표디지털 회로조합 논리 회로의 설계 순서설계 하고자 하는 시스템의 분석과 변수 정의입∙출력
    리포트 | 20페이지 | 1,500원 | 등록일 2010.11.20
  • 아주대학교 논리회로 실험 설계 예비보고서
    논리회로 실험설계설계주제:스톱워치목차1. 설계 목표2. 동작 조건3. ... 설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다. ... part(4) Segment output control part(5) Switch part(6) 총 설계 회로5.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... 하지만 설계시 제한점으로, 오직 7개의 line밖에 사용할 수 없음을 유념해야 한다. ... 이론적으로 설계를 한 이 회로에 문제가 있는지는 컴파일을 통해서 확인을 할 수 있었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대