• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(762)
  • 리포트(718)
  • 시험자료(26)
  • 자기소개서(13)
  • 논문(3)
  • 방송통신대(2)

"비동기식 카운터" 검색결과 201-220 / 762건

  • 아주대 논리회로실험 실험예비6 시프트레지스터와 카운터 (Shift Register & Counter)
    (2) 비동기식 카운터동기카운터에 대해 알아보라.● 비동기식 카운터< 비동기식 증가형 카운터(하강엣지,상승엣지 방식) >비동기식은 앞에 나온 신호를 클락 펄스 삼아서 출력을 ... 회로가 비동기식 보다는 복잡하지만 비동기식 회로와 다르게 두 동작중 하나의 동작이 하는 도중에 다른 기능의 카운터로 변경시켜 동작시킬수 있다는 특징이 있다.● 비동기식 업/다운 카운터비동기식 ... 비동기식 업/다운 카운터이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 실험7[1]. 카운터
    비동기식 증가형 카운터와 감소형 카운터그림 10-1에 T 플립플롭을 사용해 구성한 비동기식 4비트 증가형 카운터 회로를 나타내었다. ... 같이 모든 플립플롭의 입력 T에 제어신호 E를 직접 연결하면 간단히 해결된다.그림 10-4. enable 제어신호 E를 갖는 비동기식 증가형 카운터동기카운터비동기식과 달리 동기식 ... 비동기식 카운터동기카운터에 비해 회로가 간단해 진다는 장점이 있으나 전달지연이 커진다는 단점이 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.10.26
  • N체분계수기와 10진 계수기
    이와 같이 N개의 서로 다른 계수상태를 갖는 계수기를 N체분 계수기 또는 N법 계수기라 하면 Mod-N 계수기라고도 한다.1) 비동기식 N진 카운터- 비동기 카운터로 N진 카운터를 ... BCD 카운터는 앞에서 설명한 비동기식 10진 카운터와 같은 설계과정을 거친다. 먼저 BCD 카운터의 < 표 2 >와 같은 상태표를 작성한다. ... 표시되어야 한다.2) 비동기식 10진 카운터- 10진 카운터는 0에서부터 9까지 10개의 상태를 카운트 하는 것으로 10진수를 2진 코드로 표현하는데 적어도 4비트가 필요하므로 10진
    리포트 | 6페이지 | 1,500원 | 등록일 2010.05.23
  • 비동기카운터
    이러한 비동기 카운터 회로에 비해 회로가 간단하다는 장점이 있으나, 클럭 신호가 각 Flip Flop에 병렬로 동시에 인가되는 동기카운터에 비해 속도가 느리다는 단점이 있다.상향 ... 그러나 카운터는 펄스의 계수 이외에도 주파수의 분주, 시간의 측정, 주파수 또는 주기의 측정 및 공정의 제어 등 그 응용분야가 넓은 회로이다.비동기식 카운터란? ... 비동기식 카운터는 직렬 카운터라고도 불리며, 그 이름대로 첫 단의 Flip Flop에만 클럭 신호가 인가되며, 이 첫 단 Flip Flopd의 출력이 다음 단 Flip Flop의 클럭단에
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.26
  • 포토 인터럽트를 이용한 DC 모터 속도 측정 예비보고서
    같은 시간에 각자의 입력에 따라 자기 상태를 바꾼다.모든 플립플롭에 클럭신호가 병렬로 동시에 인가되기 때문에 계수속도가 빠른 장점이 있으나, 비동기식 카운터에 비해 복잡하다.비동기식 ... 목적① 포토인터럽트를 이용한 공학식 인코더의 동작을 이해한다.② Schmitt-Trigger Inverter를 통해 히스테리시스 특성을 이해한다.③ 비동기식 카운터 구조와 동작원리를 ... 회로비동기식 계수기와 동기식 계수기(플립플롭의 구동방식)동기식 계수기 : 장치를 구성하고 있는 모든 플립플롭이 하나의 공동된 클럭 신호에 따라 구동되는 장치를 의미모든 플립플롭이
    리포트 | 3페이지 | 1,500원 | 등록일 2014.11.21 | 수정일 2015.10.21
  • 예비보고서 // 순서논리회로의 해석과 설계, 비동기식 계수기, 동기식계수기
    비동기식count-up계수기와 count-down 계수기를 구성한다.2)비동기식 up/down계수기를 구성한다3)비동기식 십진 계수기를 구성한다2.실험이론계수기(카운터,counter ... *동기식과 비동기식카운터의 출력이 하나의 입력클락에 의해 동기 되는지의 여부에 의해 구분된다동기식은 모든 FF이 하나의 입력클럭에 의해서 출력이 동거되기 때문에 FF의 클록 입력단자에 ... 반면 비동기식은 FF마다 클럭입력단자에 인가되는 신호선이 서로 다르다.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • 10비동기식 카운터 결과
    비동기식 카운터 결과보고서1. ... 다음실험에는 동기카운터인데 비동기식과의 차이점을 잘 이해해야겠다. ... 주파수는 최대 클럭 주파수 때문에 결과가 달라지는 것 같았다.이번 실험을 통해 리플 카운터, 비동기식 카운터에 대해 이해할 수 있었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.11.06
  • 논리회로실험_예비6
    따라서 정보가 순환하면서 유지되고 이것을 순환시프트레지스터라고 하고 링카운터로 사용한다.(링카운터는 한 비트가 레지스터를 순환하는 시프트레지스터 카운터로 볼 수 있다.) ... 첫 값을 1로 셋한다면 그 정보가 다음, 다음으로 계속 옮겨갈 것 이고 이로서 n자리 링카운터는 n가지의 상태를 카운트 할 수 있는 것이다. ... (1)시프트레지스터로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라. 시프트 레지스터의 마지막에 나온 데이터가 다시 첫 번째의 입력으로 들어가게 되는 구조다.
    리포트 | 10페이지 | 2,000원 | 등록일 2012.07.13
  • 10비동기식 카운터 예비
    비동기식 카운터 예비보고서1. 목적가. 4상태를 가진 상태도를 회로로 구현하고 동작을 확인한다.나. T-플립플롭을 이용한 4비트 리플 카운터를 설계하고 구현한다.다. ... 캐리정보가 하위비트에서 상위비트로 한 번에 한 비트씩 물결치듯 전달되기 때문에 리플카운터라 부르며, 각 플립플롭이 동일 클럭을 사용하지 않으므로 비동기식 카운터라고 부른다. ... 따라서 클럭의 상승 에지 시점에서는 플립플롭의 동기식 입력신호 값이 변화해서는 안되며, 실제로 모든 플립플롭들은 상승 에지 시점 직전과 직후의 일정시간동안 플립플롭의 동기식 입력신호
    리포트 | 6페이지 | 1,000원 | 등록일 2012.11.06
  • 스톱워치 구현 보고서
    교재 10장의 실험 내용이 비동기식 카운터였기 때문에 비동기식 카운터로 설계하는 것이 더 편리했기 때문이다. 2차 Term Project를 진행했던 날이 10장의 비동기식 카운터 실험을 ... 실험이론1) 비동기식 카운터비동기식 카운터는 각 플립플롭의 트리거 입력을 앞 단의 출력에 연결하여 앞 단의 출력이 다음 단의 입력으로 들어와 동작하도록 직렬 연결된 매우 간단한 형태의 ... 모두 실험회로에서 알 수 있듯이 비동기식 카운터로 설계했다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 결과보고서 // 9.순서논리회로의 해석과 설계 10.비동기식계수기 11.동기식계수기
    *결론 및 고찰비동기식 count-up계수기와 count-down계수기는 생각보다 회로가 간단하여 어려움없이 실험을 성ㄱㅇ시킬 수 있었다.up-카운터와 down카운터의 회로와 펄스파형을 ... 실험3.비동기식 10진 계수기 설계십진계수기를 만들기 위해서는 1010(2)발생후 0000으로 되돌아가야한다.CLR을 이용하여 Q1과Q3에 1이 발생시 NAND게이트와 AND게이트를
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 순차회로 설계 - 카운터 결과보고서
    실험 결과- 실험 1. 4비트 비동기식카운터 설계(1) 소스 코드- 변수 Y에 2진법으로 0~9까지 오름차순으로 값이 카운팅되면 해당하는 수를 1개의 7 segment로 출력하는 ... 설계(1) 소스 코드- 8비트짜리 존슨 카운터로 카운팅 할 때마다 MSB의 값을 반전시켜서 LSB로 이동시키는 카운터이다.- 존슨카운터의 기본 주파수를 8Hz로 설정하고 VHDL ... 순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 디지털 시스템 실험
    ·비동기식 증가형/감소형 카운터를 설계하고 실험을 통해 동작을 확인한다.실험장비소 모 품비 소 모 품·74LS86··실 험 내 용비동기식 카운터 회로 설계하기실험내용 분석T플립플롭이 ... 카운터학습목표·동기카운터를 설계하고 실험을 통해 동작을 확인한다. ... 그것은 1Hz의 불안정한 클럭과 칩의 상태에 따라 나오는 것이기 때문에 이 현상을 제외하면 순서대로 수를 카운터했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.18
  • J-K 플립플롭을 이용한 동기카운터
    J-K 플립플롭을 이용한 동기카운터 회로도5. PSPICE를 이용한 시뮬레이션6. 고찰- 동기카운터를 이용하여 0~6까지 출력되는 카운터를 설계해보았다. ... 기말고사 텀 보고서실험제목J-K 플립플롭을 이용한 동기카운터 구현학과전자정보통신공학전공학년조학번성명1. ... 동기카운터 상태변화 각 숫자에 대해 on 되어야할 7세그먼트 요소숫자BCD 코드on 되어야 할 요소D C B A01234567890 0 0 00 0 0 10 0 1 00 0 1
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.18
  • 디지털논리회로실험 - 카운터
    카운터(synchronous counter)★ 동기카운터비동기식 카운터의 차이점비동기식 카운터에는 플립플롭을 여러 단 사용할 경우 입력펄스에 대해 동시에 모든 상태가 변하지 ... 비동기식 두 종류가 있다.■ 비동기식 카운터(asynchronous counter) 혹은 리플카운터(ripple counter)◎ 하나의 플립플롭의 출력이 다른 플립플롭의 입력으로 ... 또한 각 플립플롭의 지연시간이 있는 비동기식 카운터의 문제점 들을 동기식 또는 병렬 카운터를 이용하여 모든 플립플롭이 클럭에 동기되어 트리거 시키으로서 해결할 수 있다.(1) 동기
    리포트 | 3페이지 | 1,000원 | 등록일 2007.11.21
  • [예비레포트] Mod-n 카운터
    카운터 구성은 카운터 up/down 형태로 동기식과 비동기식의 구성이 가능하다. 4-bit counter state diagram위와 같이 4bit의 경우 2^4 개의 state를 ... 동기식 mod-16 카운터 회로 PSPICE 결과 (Trailing Edge) 동기식 mod-16 카운터 회로 PSPICE 결과 (Trailing Edge)Offtime이 달라져도 ... 동기식 mod-16 카운터 회로 (Leading Edge) 동기식 mod-16 카운터 회로 PSPICE 결과 (Leading Edge)Offtime이 달라져도 모두 동시에 바뀜을 확인
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 논리회로실험15 비동기식
    비동기식 카운터▶ 실험 데이터 및 관찰단계 1의 파형상향 카운터인가 하향 카운터인가? 하향단계 2의 파형상향 카운터인가 하향 카운터인가? ... 하향단계 7의 파형상향 카운터인가 하향 카운터인가? 하향▶ 실험결과 정리.- 이 실험을 통해 비동기식 카운터를 소자를 사용해서 직접 카운터를 해보았다. ... 7492의 경우 모든 클럭입력에 같은 클럭이 들어가 동기식으로 작동하고 7493의 경우 각 출력으로 나온 값이 클럭에 들어가서 비동기식으로 작동한다
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 아주대학교 논리회로실험 실험8 예비보고서
    즉, 플립플롭의 인가되는 클럭신호의 개수를 셀 수 있고 이 신호의 개수로 시간을 계산할 수 있다.2) 카운터의 종류① 비동기식 카운터, 동기카운터비동기식 카운터동기카운터가 ... 또 비동기식 카운터는 앞의 플립플롭 출력값이 뒤의 플립플롭의 클럭신호로 들어간다. 그러므로 전달지연이 발생한다. 비동기식 카운터는 리플 카운터라고도 부른다. ... 비동기식 카운터는 J-K 플립플롭 또는 T 플립플롭으로 구성된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • [기초전자회로실험2] FPGA Board를 이용한 FSM 회로의 구현 예비보고서
    카운터동기카운터비동기식 카운터(리플 카운터) 로 분류되며 동기카운터는 모든 플립플롭들이 하나의 공통 Clock에 연결되어 있어서 모든 플립플롭이 동시에 작동되며 비동기식 ... 모든 카운터 단계가 동시에 병렬로 트리거되기 때문에 동기카운터에 고유 한 전파 지연이 없으므로이 유형의 주파수 카운터의 최대 작동 주파수는 유사한 비동기식 카운터 회로보다 훨씬 ... 카운터는 리플(ripple) 카운터라고 한다.비동기식 카운터(리플 카운터)- 클록 펄스에 모든 플립플롭이 동기화되지 않으며 동작함- 보통, 첫번째(LSB) 플립플롭에 만 클록펄스에
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 논리회로, 디지털공학, 회로 설계
    카운터⑾ 설계 ⑩:동기카운터⑿ 결론⑴ 시뮬레이터 요약Logic works 소프트웨어는 프린스톤, 뉴저지에 본사를 둔 회사에서 만들어 졌으며 이 회사의 주력 제품은 IDEF1X ... 및 구현⑸ 설계 ④:가산기와 감산기 설계 및 구현⑹ 설계 ⑤:인코더와 디코더 설계 및 구현⑺ 설계 ⑥:멀티플렉서와 디멀티플렉서⑻ 설계 ⑦:코드변환기⑼ 설계 ⑧:플립플롭⑽ 설계 ⑨:비동기식 ... 진리표ABCDWXYZ0000001100010100001001010011011001000111010101000110100101111110101110 11 0⑧ 7476 IC 회로⑧ 7476 IC 회로 결과⑧ 7476 IC 진리표JKCPQ0011011110111110⑽ 설계 ⑨:비동기식
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:00 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기