• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(762)
  • 리포트(718)
  • 시험자료(26)
  • 자기소개서(13)
  • 논문(3)
  • 방송통신대(2)

"비동기식 카운터" 검색결과 281-300 / 762건

  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    FPGA보드에 연결하자 Clock에 변화에 맞춰서 신호등이 바뀌는 것을 확인하였다.토의이번 실험은 저번 주에 실험했었던 비동기식, 즉 Clock pulse가 모두 동시에 들어가지 않아 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서실험제목Sequential Circuit 설계 및 구현실험목표① 동기식 UP/DOWN ... delay가 누적되는 현상을 방지하여 만든 동기식 Up/down Counter를 coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • [A+ 결과보고서] 아주대 논리회로실험 실험8 'Counter'
    실험결과실험1 2단2진 카운터(비동기식)A'B'AB'A'BAB실험2 3진 카운터(동기식)A'B'AB'A'B-실험1에서 카운터가 A’B’ - AB’ - A’B AB 순서로 비동기적으로 ... -비동기식 카운터동기카운터의 구조와 동작원리에 대해 알아보고 이해한다.-몇몇 카운터 소자의 구성방법을 이해한다.2. ... ●예비보고서의 SPICE의 결과와 비교분석실험1 2단2진 카운터(비동기식)회로결과실험2 3진 카운터(동기식)-예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.03.27
  • 디지털회로실험 > 결과보고서 ch14, ch15 비동기식카운터. 동기카운터
    실험 목적(1) 카운터의 동작 원리를 익힌다.(2) 비동기 카운터를 통하여 플립 플롭의 응용방법을 익힌다.(3) 가산 카운터와 감산 카운터의 차이점을 익힌다.(4) 비동기식 Modulus ... 실험 고찰비동기식 카운터 설계후 회로를 LED 와 연결하여 동작상태를 확인하였다. ... Page 1실험 14.15 비동기식 카운터/ 동기카운터1.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.11.12
  • 4비트 동기카운터 설계
    1. t_ff 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tff isport (clk, t : in std_logic; q, nq: buffer std_lo..
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 10장(8비트 시프트 레지스터) 예비보고서
    디지털 회로 실험(10장 예비보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :예 비 보 고 서< 실험 10 : 8비트 동기카운터 >1. ... 한 비트식 시프트 되어 7클럭 뒤에 Q7로 출력된다.3. 실험 장비 및 재료구 분명 칭규 격수 량실험 장비전원 공급기1오실로스코프1브레드보드1로직 프로브1소자8비트 시프트 레지스터
    리포트 | 4페이지 | 1,000원 | 등록일 2009.05.07
  • 08 논리회로설계실험 예비보고서(카운터)
    결정된다.카운트 된 값을 나타내는 2진 비트 수만큼의 플립플롭들과 게이트들로 구성된다.동작 방식에 따라서 비동기식 카운터동기카운터로 나뉜다.- 비동기식 카운터(asynchronous ... 실험 내용- 실험 1-1. 8비트 비동기식카운터 설계(1) VHDL 코딩8비트 비동기식카운터(2) 시뮬레이션 결과- 실험 1-2. 8비트 동기식 다운카운트 설계(1) VHDL ... 카운터 2비트 비동기식 카운터 출력파형- 동기카운터(synchronous counter)하나의 공통 클록이 카운터의 모은 플립플롭에 동시에 인가된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 실험19 카운터 회로 예비보고서
    따라서 비동기식 장치는 대단히 신중히 사용해야 한다.(2) 동기카운터동기식 계수기는 비동기식 계수기와는 달리 공통의 클럭신호에 맞춰서 플립플롭들이 동시에 상태를 바꾸어 가는 회로로 ... 이러한 식으로 출력은 입력으로 받아서 클럭에 인가하는 것이 비동기식 카운터이다. ... 선택적인 신호를 연결해 줌으로서 두 동작을 실행 수 있다.◇ 예비 과제(1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.비동기식 카운터의 경우에는 플립플롭
    리포트 | 9페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 실험(1) 응용논리회로(카운터) 예비보고서
    따라서 리플 캐리 카운터는 회로의구성이 비동기식 카운터보다 복잡하지만 동기카운터보다는 간단하고, 또 전송 지연이 동기카운터보다는 길어지지만 비동기식 카운터보다는 짧아진다. ... (a) 비동기식 십진 카운터 회로(b) 동기식 십진 카운터 회로(c) 비동기식에서의 출력상태(d) 동기식에서의 출력상태그림 6 십진 카운터2.6 모듈러스 N 카운터모듈러스(modulus ... 그러나 비동기식 카운터에 비하여 회로가 복잡해지는 단점이 있다.2.1 비동기식 카운트-업 카운터와 카운트-다운 카운터비동기식 카운트-업, 카운트-다운 카운터는 가장 간단한 카운터들로
    리포트 | 10페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 칸트의 사상과 적용
    유스호스텔 카운터에서 체크아웃을 하고 나오는데, 어떤 외국인 여자가 뛰어나오더니 150유로를 손에 쥐어주고 갔다. ... 영국은 신사의 나라라니, 어디를 가나 우리나라 사람처럼 신호 안 지키는 사람이 없다느니 식의 말을 듣고 간 터라, 그들의 준법정신에 적지 않은 기대를 하고 있던 터였다. ... 합법성과 도덕성을 구별할 때, 칸트는 합법성은 행위 자체에 바탕을 두고 있다면 도덕성은 행위의 동기와 심성에 바탕을 두고 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2019.02.25
  • 디지털실험 13예비 비동기 계수기
    비동기식 카운터에서 클럭펄스의 주파수가 높아지면 어떠한 현상이 일어날 것인가를 예측하라.비동기식 카운터는 플립플롭을 사용하여 구성된다. ... 비동기식 카운터의 장단점을 열거하라.동기식 계수기에 비해 간단하게 설계할 수 있는 장점이 있다. ... 플립플롭의 구동방식에 따라 비동기식(asynchronous) 계수기와 동기식(synchronous) 계수기로 나뉜다. 이들은 각각 직렬 카운터와 병렬 카운터라고도 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.09.30 | 수정일 2014.11.11
  • JK Flip Flop 과 클락 생성
    실험목표- 동기식과 비동기식 Flip-Flop의 기본개념과 동작원리를 이해할 수 있다.- RS Latch, RS Flip-Flop, D Flip-Flop과 JK Flip-Flop의 ... 디지털회로의 카운터 같은 경우, 각 플립플럽의 동작을 같은 시간에 하기 위한 동기 신호로 사용한다.클럭은 두 개 이상의 Hyperlink "https://ko.wikipedia.org ... title=%EC%B9%B4%EC%9A%B4%ED%84%B0_%ED%9A%8C%EB%A1%9C&action=edit&redlink=1" \o "카운터 회로 (없는 문서)" 카운터 회로에
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • 일반기계기사필기 유압기기 요점정리
    (액추에이터)유압장치 구비순서- 유압발생장치 → 유압제어밸브 → 유압작동기유압유의 구비조건- 비압축성(동력전달)이어야 한다- 인화점, 발화점이 높아야 한다- 소포성, 윤활성, 방청성이 ... 필터 : 바이패스 회로에 사용- 적층식 필터 : 얇은 여과관을 겹쳐 사용- 다공체식 필터- 흡착식 필터 : 흡착제로 고무질, 아교질- 자기식 필터 : 영구자석 이용배관- 주관로 : ... 고압 압축유체를 감압시켜 사용조건이 변동되어도 설정공급압력을 일정하게유지시키는 밸브, 상시개방형 밸브- 무부하밸브 : 압력이 설정 값에 도달하면무부하 운전을 하는 밸브, 동력절감- 카운터밸런스밸브
    시험자료 | 4페이지 | 1,500원 | 등록일 2019.02.06 | 수정일 2019.02.08
  • <논리회로실험>J-K플립플롭
    프리셋(preset)과 클리어(clear) 입력은 동기인가? 아니면 비동기인가? ... 회로를 구성하고 실험 결과에Q _{A}와Q _{B} 출력을 그려라.그림 72.2 실험 결과- PRE과 CLR입력에 대한 관찰:PRE과 CLR은 CLK에 영향을 받지 않기 때문에 비동기이다 ... J-K 플립플롭의 특성표, 특성 방정식, 상태도INPUTSOUTPUTSPRECLRCLKJKQQLHXXXHLHLXXXLHLLXXXHHHH↓LLQQHH↓HLHLHH↓LHLHHH↓HHTOGGLEHHHXXQQ표
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.15
  • 아주대 논리회로실험 실험결과6 시프트레지스터와 카운터 (Shift Register & Counter)
    우선 바로 전 실험과 같은 10진 카운터인데 다른점은 동기식이라는 점이다. 10진 카운터에서 동기식과 비동기식을 차이는 안정성에 있다 동기식은 일정한 클락이 들어가므로 안정적이지만 ... 우선 이 회로는 리플카운터로써 비동기식이라고도 하고 직력이라고도 불리우는데 동기식과 비교하여 지연시간이 있다는 단점이 있다. ... 카운터 실험으로 시프트 레지스터와 링카운터의 개념을 익히고, 동기식과 비동기식의 차이를 알며, 2진카운터와 10진카운터의 동작과 회로 구성에 대해서도 직접 회로를 구성하여 알아보는
    리포트 | 10페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 아주대 논회실 논리회로실험 실험8 예비보고서
    -비동기식카운터동기카운터의 차이점을 이해한다.2. ... 카운터는 클럭의 유무에 따라 동기카운터(Synchronous Counters)와 비동기식 카운터(Asynchronous Counters)로 나눌 수 있다.동기카운터는 클럭에 ... 병렬로 동시에 클럭 펄스로 트리거 되지 않으며 전단의 플립플롭이 반전상태로 바뀔 때까지 기다려야하며, 상대적으로 동기카운터에 비해 동작속도가 느린 특성이 있다.비동기식 카운터
    리포트 | 5페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 아주대 논회실 논리회로실험 실험8 결과보고서
    카운터는 클럭의 유무에 따라 동기카운터(Synchronous Counters)와 비동기식 카운터(Asynchronous Counters)로 나눌 수 있다.동기카운터는 클럭에 ... 실험2는 실험1과 비슷한 실험으로 출력 방식을 2단 2진에서 3진으로 바꾸고 비동기식 카운터동기카운터로 만든 회로였다. ... 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환을 실험하고 동작을 확인하며 비동기식카운터동기카운터의 차이점을 이해하였다.
    리포트 | 7페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • [컴퓨터공학기초설계및실험1 예비레포트] 비동기 계수회로
    이 두 회로를 결합하여 구성한 것으로 제어입력에 따라 증가, 또는 감소순으로 계수하는 것은 가감산 계수회로(up down counter)라 한다.up counter비동기식 카운터는 ... 컴퓨터 공학 기초 설계 및 실험1예비보고서실험제목:비동기 계수회로 (예비)예비보고서제목 및 목적제목비동기 계수회로(Asynchronous Counter)목적비동기 계수회로의 원리를 ... 이러한 비동기 계수회로는 회로가 간단하다는 장점이 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.16
  • 기초 회로 실험 보고서 9장(결과)-플립플롭,카운터,시프트레지스터FLIP FLOP, COUNTER, SHIFT REGISTER
    * Clock 입력과 Low Digit Q3파형* Low Digit Q3파형과 High Digit Q0파형* 검토 및 토의 사항예비보고서로 조사한 내용 중 (74LS93은 16진 비동기식 ... 상향 카운터로 각 플리플롭은 클록퍼스의 하강 에너지에서 변한다. ... (Q0→Q7)Q0~Q7의 모든 LED가 꺼진 상태에서 Clear스위치(2비트식)을 켜면 모든 LED가 켜졌다.* 검토 및 토의 사항실험3은 실험1에서 구성했던 회로를 가져와서 하는
    리포트 | 2페이지 | 2,000원 | 등록일 2016.12.06
  • 동기/비동기 계수기
    비동기 계수기목적 ;1. 비동기식 카운터의 구조와 동작원리를 이해한다.2. ... 비동기식 카운터의 장단점을 열거하라.비동기식 카운터는 직렬 카운터라고도 하며 플립플롭을 다수 직렬로 연결한 구조로서 각 단의 플립플롭 출력은 클럭 펄스에 동기되지 않는다. ... 비동기식 카운터는 플립플롭을 직렬로 연결한 것으로 딜레이에 민감하다.
    리포트 | 14페이지 | 1,000원 | 등록일 2006.11.23
  • 논리회로설계실험 프로젝트 라인트레이서
    카운터동기카운터로 나뉜다.이번 설계에서는 동기카운터를 사용한다.- 비동기식 카운터(asynchronous counter)공통 클록을 사용하지 않기 때문에, 플립플롭들의 ... 모듈 플립플롭들의 수와 연결 방식에 따라, 카운트 할 수 있는 최대값이 결정된다.카운트 된 값을 나타내는 2진 비트 수만큼의 플립플롭들과 게이트들로 구성된다.동작 방식에 따라서 비동기식 ... 플립플롭의 출력이 다음 플립플롭의 클록 입력에 연결되고, 이와 같은 방식이 반복된다.플립플롭 결과들은 순차적으로 트리거되기 때문에, 플립플롭의 상태변화가 서로 다른 시간에 발생한다.- 동기
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:29 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대