• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,048)
  • 리포트(6,460)
  • 자기소개서(253)
  • 시험자료(149)
  • 방송통신대(110)
  • 서식(32)
  • 논문(23)
  • 기업보고서(7)
  • ppt테마(5)
  • 표지/속지(4)
  • 노하우(3)
  • 이력서(2)

"자판기" 검색결과 201-220 / 7,048건

  • [공학]자판기 최적 배치 문제
    시뮬레이션리 포 트제목 : 자판기 문제○ 과목 : 시뮬레이션○ 교수 : 교수님○ 학과 : 산업시스템정보공학과○ 학번 :○ 성명 :시뮬레이션 김성식 교수님1. ... Problem statement제 품ABCDE비 율12331가 격*************00상 황 : 자판기에 5가지 종류의 음료수가 각 100개 씩 채워져 있다.이익은 판매금의 30%
    리포트 | 21페이지 | 2,000원 | 등록일 2006.06.26
  • [논리회로]자판기 구현
    Project커피 재료 조절 자판기 (“ 내 커피는 내 맘대로”)Team MembersSystem DiagramVending Machine controllerEND swCoin 100 ... Finite State Machine Design - A Vending Machine [인터비전]디지털 시스템 [미래컴] VHDL 을 이용한 디지털 설계결론 및 후기우리가 손쉽게 사용하는 자판기에도
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • 언제어디서나 꾸준한 휴대용 화장품 자판기 유통 사업계획서
    그렇기 때문에품 자판기가 존재하지 않는다. ... 그러므로 화장품 자판기가 국내에서 처음으로 나타나게 된다면 화장품 자판기의 선두 주자로 달려나갈 수 있을 것이다.* 제품 기능 중심의 포지셔닝적재 적소에서 필요한 가격대의 화장품을 ... 그러므로 화장품 자판기가 있다면 사용할 것이다. 하지만 관광이 목적이기 때문에 가 위주
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.25
  • 논리회로) 자판기를 제어하는 조합 논리회로설계 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 자판기를 제어하는 조합 논리회로설계2. 실험 목적 : 조합 논리회로를 이용해서 음료수 자판기를 직접 설계한다.3. ... 실험 내용 600원 짜리와 700원 짜리 음료수를 판매하는 자판기이다. 이 자판기는 100원 짜리 동전 7개와 500원 짜리 동전 1개를 각각 입력 받을 수 있다.
    리포트 | 1페이지 | 1,500원 | 등록일 2013.06.09
  • 자판기 프로그램(C언어)
    #include#include#include "Vending Machine.h"#define Max 5int main(){int select = 0, option;char *BeverageName[Max] = {"콜라", "사이다", "환타", "초록매실", "식혜"}..
    리포트 | 1,000원 | 등록일 2004.11.12
  • [사업계획서]원샷원생(one_shot_one_生)숙취해소 자판기
    원샷원생(One Shot One 生)숙취해소 자판기 사업Contents4.입지/상권 분석5.시장성/시장현황6.재무재표분석1.인사말씀2.회사소개3.사업계획1.인사말씀숙취해소 음료 구입을 ... 위해 약국이나 편의점에 가야 하는 시간적·공간적 불편함 해소하기 위해 자판기 사업이 유망한 직종이라고 생각하여 사업을 계획하게 되었습니다인사말씀2.회사소개업 체 명원샷원생 (One ... Shot One 生)조직형태주식회사대표이사이 상 오종 업 원2 명설립예정일2010. 1.14자 본 금5,000 만원본사주소진주시차 입 금5,000 만원주력상품자판기 서비스 (숙취해소음료
    리포트 | 13페이지 | 1,500원 | 등록일 2011.11.28
  • [국어국문]창작 시 (자판기 커피)
    자판기 커피비 온 뒤 숲의 싱그러움으로그대에게 다가갈 수 있기를그대가 진정키 작은 나무가 아닌 숲을 볼 줄 아는 사람이기를자판기 커피에 손을 녹이며나는 또 그대를 생각하네그리고 기도하네우리의
    리포트 | 1페이지 | 1,000원 | 등록일 2006.12.04
  • vending machine (자판기) simulator
    생성된다는 것을 알 수 있다.CVendingMachine에 대한 객체가 생성될 때, 자판기의 패널과 엔진, 각종 재료 통과 동전통에 대한 객체가 생성되며, 이런 자판기의 부분 객체에 ... CPanel은 자판기의 패널에 해당되는 객체로서 사용되며, CEngine은 자판기 내부의 엔진에 해당하는 객체로, CContainer는 각종 재료와 동전을 담는 객체로서 사용되고 있다 ... ~CVendingMachine();객체 소멸자.void on();자판기의 전원이 켜진 상태에서의 동작을관리하는 method.
    리포트 | 21페이지 | 1,000원 | 등록일 2005.09.04
  • 컴퓨터언어(C++) - 자판기의 원리
    int, int);int Func_Cal2(int, int);int Func_Cal3(int);void main(){int ori_money, remain;printf("***** 자판기
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.09
  • VHDL 로 만든 자판기(vending machine) 컨트롤러 소스입니다.
    VHDL로 만든 자판기 컨트롤러 소스입니다.(vending machine)실행화면은 모델심 수행화면입니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • 언제어디서나 꾸준한 화장품 자판기 사업계획서 , 창업계획서
    상품 소개 → 기존 구매방식 고집하던 소비자 설득하여 확보 화장품 자판기 사업 해외 성공 사례 → 국내 수요 예측WT 문제점 도출 위치선정이 좋지 않을 경우 →자판기 재배치 사업 ... 설치 → 위치선정 문제 해결 관리를 자주 해야 함 → 트렌드에 맞는 화장품을 업데이트ST 문제점 및 대책 강구 화장품 브랜드 이미지 편승 → 자판기 이미지 구축 및 홍보 자판기 모니터로 ... 전략 분석3) POSITIONING마트로드숍자판기백화점저가고가고 객 접근성고 객 접근성IV. 마케팅 분석IV.
    리포트 | 22페이지 | 1,500원 | 등록일 2010.11.25
  • 커피자판기 프로그램(c언어)
    #ifndef __Vending_Machine_h#define __Vending_Machine_h#include "Beverage.h"#include "Money.h"typedef struct __Vending Vending;typedef struct __Vending..
    리포트 | 2,500원 | 등록일 2005.07.08
  • [엑셀] 매크로 자판기
    따라서 대화상자의 디자인도 실제 자판기와 유사하게 하려 노력도 하였습니다.먼저 화폐버튼을 누르면 그 액수만큼 투입액이 늘어나도록 매크로를 지정하였습니다. ... 자판기투입액450원450원450원600원400원450원500원500원500원500원50원100원500원1000원반환버튼제품종류화폐확인잔액00제품명 가격 지폐 투입액 0코카콜라 450 ... 하지만 그 헛점을 모두 보완하여 완벽한 자판기를 내놓기엔 아직 경험이나 기술, 시간이 너무 부족하네요.우선 첫째로 계산을 하는 방법이 맞는지 잘 모르겠습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2002.11.30
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식. ... .■ Vending Machine의 기본 기능커피를 파는 자판기를 설계한다. 커피 한 개의 가격은 150원이다. 동전은 50원과 100원짜리 동전만 사용한다.
    리포트 | 6페이지 | 13,000원 | 등록일 2010.01.13
  • [디지털시스템][회로설계]자판기, vending mechine 회로설계 및 검증
    자판기는 잔돈 반납 가능하다. ... IN_1은 자판기에 넣는 동전.5. IN_2은 반환 버튼.6. VALUE은 반환 동전.7. OUT은 커피.8. Moore 방식. ... .■ Vending Machine의 기본 기능커피를 파는 자판기를 설계한다. 커피 한 개의 가격은 150원이다. 동전은 50원과 100원짜리 동전만 사용한다.
    리포트 | 2페이지 | 13,000원 | 등록일 2010.01.13
  • [경영학] 자판기와 유비쿼터스의 컨버젼스
    자판기 네트워크 사업2. 메카니즘III. 시장 동향1. 자판기 사업의 특성1) 자판기2) 자판기 사업의 장점3) 자판기의 단점2. ... 비전원식 자판기는 전원과 관계없이 사용할 수 있는 자판기로 흔히 볼 수 있는 뽑기 자판기나 콘돔 자판기 등이 있으며. ... 예를 들자면, 대형 음료수 자판기, 커피 자판기 등이 전원식 자판기에 속한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2005.03.04
  • VHDL을 이용한 Vending machine(자동판매기/자판기)
    동작설명Coffee, Tea, Orange, Coke를 판매하는 자판기 설계 50원, 100원 짜리 코인 입력 - Key pad 로 입력 5가지 제품 선택 - Key pad로 입력
    리포트 | 17페이지 | 3,000원 | 등록일 2006.12.15 | 수정일 2014.08.07
  • C#을 이용한 자판기 프로그램(음료판매 프로그램)
    C#을 이용한 자판기 프로그램입니다.음료수는 12가지이며 일일판매량 등의 계산이 가능하도록 제작하였습니다.
    리포트 | 1,500원 | 등록일 2008.06.19
  • 베릴로그 카운터 및 FSM을 이용한 프로젝트 ( 콜라 자판기 )
    1. 서론입력은 2비트 2진수로 정의한다.입력은 총 세 가지 경우가 있다. X0 = 2`b00 (동전을 넣지 않음) X5 = 2`b01 (50센트 투입) X10 = 2`b10 (1달러 투입) 출력은 1비트 2진수로 정의..
    리포트 | 3페이지 | 5,000원 | 등록일 2007.09.13
  • [JAVA소스]JAVA로 구현한 커피자판기
    이를 위해서 Machine(자판기) 클래스와 MachineTest(자판기테스트)클래스를 만들고 각 클래스에 멤버변수와 생성자, 메소드를 만들었습니다.2.동작순서:1.자판기내 음료수의 ... JAVA로 만든 음료수 자판기1.개요:우리가 실제로 자판기에서 돈을 넣고 음료수를 사먹는 행위를 Java로구현해 보았습니다. ... start=new Machine(): 자판기 클래스로부터 새로운 객체를 생성함.2)기능(메소드)start.set(); Machine Class 객체에서 set()메소드를 불러와 자판기
    리포트 | 4페이지 | 1,000원 | 등록일 2005.12.08
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:20 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기