• 통큰쿠폰이벤트-통합
  • 통합검색(6,042)
  • 리포트(5,840)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7segment" 검색결과 201-220 / 6,042건

  • [마이크로컨트롤러]7 Segment LED 제어하기
    단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] 7 segment LED Array Display 7 #include avr / io.h #include ... 단 , 시간지연은 delay 함수를 이용한다 . [ 프로그램 예제 ] 7 segment LED Array Dynamic Display 8 #include avr / io.h #include ... LED 3 a d g b c f e h a b c d e f g h com common cathode type 7 segment LED 데이터 값 (HEX) h g f e d c b
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • 서울시립대학교 전전설2 8주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Pre-Lab Report- Title: Lab#08 Peripherals(7-segment and Piezo Control)담당 교수담당 조교실 험 일학 번이 름목 차1. ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 7-segment, Piezo등 주변 디지털 장치 제어를 실험한다.나. ... 알고리즘이 바로 double dabble algorithm 이다.이 double dabble algorithm은 3가지 구현으로 이루어져있다.1) 2진수의 데이터를 왼쪽으로 1비트 shift
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서강대학교 디지털논리회로실험 레포트 3주차
    .-7-segment decoder의 동작원리를 이해한다.-Encoder의 동작원리를 이해한다. ... 토의 및 결론이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다. ... 즉, 본 실험에서 하나는 7-segment display에만 표시하기 위해 FND_COM0만을 선택하고 FND_COMM1-3는 선택되지 않도록 설정하였다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • ATmega128을 이용한 4-세그먼트 0-99출력 소스
    2주차 4장 평가 과제ATmega128의 PF에 7-segment*4(4개로 되어 있는 7-segmet)의 data핀을 연결하고 PD의 하위 4비트와 7-segment의 4개의 common ... 단자를 각각 연결하여라. 4개의 7-segment의 common 단자를 각각 제어하여 숫자 0에서 99까지 디스플레이하는 카운터를 설계하시오(단, 주기는 100초로 할 것)char ... A(11)결과 사진 첨부결과 코드 첨부#define F_CPU 16000000UL //16M 주파수#include //내부 헤더파일 사용#include //딜레이 헤더파일을 include
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가 ... 표시방법7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. ... 스위치에 해당하는 변수, digit_con은 시간이 뜰 6개의 7 segment의 위치에 해당하는 변수, sseg는 7seg에 해당하는 변수이다.(5행-9행)선언부의 signal이
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    process로, sel 값에 따라 7segment의 위치를 2진수로 나타내어 준다. ... 아래 그림은 7segment의 모식도이며, 각 LED가 a~g, dp로 할당된 것을 알 수 있다. ... Clock의 구현방법은 간단히 소개하자면, 총 5개의 process를 이용하여, 7segment의 값들을 각각 지정하고, 클럭의 시간을 연산하여, 현실의 1초가 지날 때 마다 segment
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • Term_Project_보고서_1조
    때문에 7447(BCD-to-7segment)을 이용하여 연산에서 사용된 2진수를 7segment에서 이용할 수 있도록 변환시켰다. ... 그 다음 7447(BCD-to-7segment)을 사용하여 입력 값들을 바로 7segment에 보여줄 수 있게 설계하였다.Switch?ON?OFF?(a) 그림?0V (Low)? ... 보여줘야 하는데 7segment는 bcd코드로 입력을 받는다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • SK하이닉스 합격 자기소개서
    스위치, IC칩, 7-segment를 사용해 대기번호, 대기시간, 발급 스위치로 구성했습니다. 여기서 한 가지 문제점이 발생했습니다. 초기 설계에서 채터링 현상이 발생했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.08.16
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    추가적으로 타이머의 시간 역시 7-segment로 표시해야 하는데 이 부분은 아쉽게도 시간적인 문제로 구현할 수 없었다.7. 참고문헌[1] https://m.저) ... 이용하여 7segment에 시/분/초 등을 표시하고 스위치를 이용하여 시간/분을 바꿀 수 있게 하였으며 디지털 스탑워치에서는 카운터와 and gate를 이용하고 스위치를 이용하여 ... 즉, 스위치를 조절할 때 접점의 바운싱 영향을 제거하기 위하여 사용하는 것이다. 7447은 2진 데이터를 표시하기 위한 디코더 회로로써 7segment와 연결하여 시간을 표시할 수
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털 논리회로 3주차 예비보고서
    segment의 기능을 할 수 있다. 8이 출력된다는 것은 7-segment를 이루는 모든 LED가 켜졌다는 것이다. ... 따라서 입력 ABCD는 0111이 되어야 7이 출력이 된다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오.516174477447은 ... 즉, LT기능을 통해서 7-segment의 LED가 모두 정상작동 하는지를 확인할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 디코더, mux, comprator, 4비트 감가산기
    여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 ... 출력값으로는 sum값과 sum값과 C값을 표현해주는 overflow값이 있습니다. ... 위 식에서는 입력값은 총 6개 인데 s1,s0는 입력값을 제어하는 값으로 사용이 되어집니다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디지털 논리회로와 광센서
    광센서에 빛을 주는 경우에는 7-segment에서 7~8이 반복되었다. ... 하지만 전압을 조정하여서 MC1480과 OPAMP의 전압의 범위를 비슷하게 해 준다면 7-segment에 표시되는 값은 6~7이 반복되었다. ... 수 6~7조도계에 빛을 비추는 경우 segment에 표시되는 수 7~8결과 분석 및 토의광센서 특성 측정광센서의 빛을 비추는 경우, 빛을 비추지 않는 경우보다 저항값이 줄어들었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다. ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 각각 출력되게 할 수 있다. 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 7 보고서
    We just added the additional module which print the value at the 7-segment display. ... changed parts of the going to show the value of GPIO by the 7-segment display as well as the LED. ... Thus, the modified module contains the additional module to show the value at the 7-segment display.
    리포트 | 16페이지 | 3,000원 | 등록일 2020.08.18
  • 디지털공학 32진 카운터 설계
    이로서 일의자리가 9가 된 후 십의 자리가 1씩 카운트 된다.32진 카운터이므로 7-segment에 31이 출력된 후 리셋 시키기 위해 십의 자리를 나타내는 두 번째 7-segment에 ... 학번이름분반조실험 제목32진 카운터 설계사용 부품7-segment 2개, 저항 330Ω 2개, 7490 2개, 7447 2개, 7408 1개회로도실제회로동작사진동작원리7490 IC는 ... 이 값을 십의 자리 리셋이라 가정한다.이 상태에서 일의자리를 나타내는 첫번째 7490 IC가 카운트 되다가 7-segment에 1이 출력되었을 때 이에 해당하는 0001 값이 십의자리
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    Bit이 필요하고 7개의 segment를 점등하기 위해서는 7개의 출력 bit이 필요하다.BCD 카운터, Binary 카운터10진 카운터로는 74HC190/192칩을, 그리고 16진 ... 크게 BCD to 7 Segment decoder 와 BCD 카운터, Binary 카운터 두 가지만 정리하겠다.BCD to 7 Segment decoder디지털 회로의 출력은 대게 ... 2진수로 표현되어 있으므로 이를 10 진수 방식인 7 Segment LED로 바꾸어 주기 위해서는 Decoder가 필요하다. 0부터 9까지의 10개 숫자를 나타내기 위해서 4개의 입력
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • 시립대 전전설2 Velilog 결과리포트 7주차
    표시해 줄 수 있는 최소의 장치HBE Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment ... 두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... segment 복호기의 논리회로를 구성하라.(5) 7490 십진 카운터에 대하여 조사하라.7. ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    실험 이론2.1. 7-Segment7-세그먼트 표시 장치(seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.0123456789ororororo) 구동 방식과 스태틱(static) 구동 방식을 사용한다. ... [사진 46]의 소스코드는 피에조/7-세그먼트의 입출력을 버튼 스위치와 버스 스위치, 피에조와 7-세그먼트에 맵핑한 것이며, [사진 47]의 소스코드는 피에조/7-세그먼트의 동작을
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대