• 통큰쿠폰이벤트-통합
  • 통합검색(6,042)
  • 리포트(5,840)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7segment" 검색결과 161-180 / 6,042건

  • [논리회로] 7 - segment 입력기
    왜냐하면 논리 합성(logic synthesis)과정을 통해서 CAD 도구가 자동으로 게이트 수준으로 바꾸어 주기 때문이다.3. 예비 리포트 사항1. ... 각각의 위치는 그림 7-1과 같다.a{f bg{e cd그림 7-1. 7-세그먼트7-세그먼트는 크게 두 가지로 나눈다. ... 공통 음극과 공통 양극 7-세그먼트의 내부 회로를 그림 7-2와 그림 7-3에 그려 놓았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.11.24
  • [마이크로프로세서][AVR] ATmega8 을 이용한 7-segment 습도계 HS1101,HS1100 (atmega128)
    5#define bit6 6#define bit7 7/********************************************************************** ... TCNT 값에 따른 습도값#include #include #include #include "mytypes.h"#define UART_LIB#include "uart.h"/*포트사용의 ... 편의를 위한 Define 문 */#define bit0 0#define bit1 1#define bit2 2#define bit3 3#define bit4 4#define bit5
    리포트 | 20페이지 | 8,000원 | 등록일 2007.04.15
  • [8bit] 8bit full-adder 와 HEX 7-segment 논리회로 설계 및 시뮬레이션
    ◉시뮬레이션 ▶입력 : 8bit 2개 10ns에 일제히 입력됨 ▶출력 : s0, s1, s2, s3, s4, s5, s6, s7 ▶입력들어간 시간 : 10ns ▶최종출력이 나온 시간 ... : 17.6 ns (s4가 제일 늦게 나오는 이유를 모르겠음) ▶출력이 안정되는데 까지 걸리는 시간 : 약 7.6ns
    리포트 | 2페이지 | 1,000원 | 등록일 2004.04.10
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 고려대학교 디지털시스템실험 A+ 5주차 결과보고서
    Binary to 7-segment를 구현할 때에 저번 시간에 만들었던 binary to BCD를 사용하였고, 이렇게 만든 Binary to 7-segment 함수를 이용해 7-segment ... 이번 실험을 통해 7-segment의 8자리가 어떻게 동시에 보여지는지 알 수 있었습니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습10 7-Segment/Decoder 회로 설계 예비보고서
    Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.06
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    [그림 10 - 1] 74138 decoder[그림 10 – 2] 7 segment애노드 공통형을 선택하여서 두개의 Vcc에 5V 전압을 주었다. ... 디코더, BCD, 플립플롭 등)을 상기하는 시간을 가졌고 이론과 실습을 접목시킨 점에서 의미가 깊다.고찰문제아래의 [그림 10 – 1]74138 decoder와 [그림 10 – 2] 7segment의 ... 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더를 설계한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • [A+]아날로그및디지털회로설계실습 10장 결과보고서
    서론7segment LED는 숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있으며 필요한 LED만 선택적으로 점등하여 원하는 숫자를 나타낸다. 7segment는 ... Decoder의 신호에서 7-segment LED사이에 있는 저항의 양단의 전압을 측정하였다.LED가켜졌을 때7-segment 이후 저항 이전 : 3.10V저항 이후 decoder ... 전자회로 설계실습설계실습 10. 7-segment / Decoder 회로 설계4조 결과보고서1.
    리포트 | 8페이지 | 1,000원 | 등록일 2024.02.18
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    디지털회로실험및설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름? ... source 상관 없이 똑같이 측정된다.실험 2)? ... 정도 측정된다.- MCU가 전류를 내보내는 형태인 source 전류 방식은 위 아래 두 그림 중 아랫그림으로, VCC로 전류가 들어가기 때문에 0V로 측정된다.- 전류는 sink,
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계했다.서론: 7-segment LED는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 ... 그 후에 Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계했다. ... 이번 보고서에서는 7-segment/Decoder의 진리표를 학습하고 불리언 식을 구해 7-segment/Decoder회로를 설계하는 실험을 했다.실험결과:7-segment/Decoder
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    5;#50 bcd = 6;#50 bcd = 7;#50 bcd = 8;#50 bcd = 9;#50 bcd = 50;#50 bcd = 11;#50 bcd = 12;#50 bcd = 13 ... clk = ~clk;initialbeginclk = 1'b0;bcd = 0;#50 bcd = 1;#50 bcd = 2;#50 bcd = 3;#50 bcd = 4;#50 bcd = ... ;#50 bcd = 14;#50 bcd = 15;#40 $finish;endendmodule5.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... issignal s_and1, s_and2 : std_logic;begins_and1 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)10
    7-segment LED는 3, 8번 pin은 공통(common) 핀으로 사용되며 common Cathode type의 경우 공통 핀은 아래의 회로와 같이 접지(GND)로 연결하여 ... type이라면, 7-Segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가? ... 사용하면 된다.2. 74LS47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가?
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... 쓰시오-BI/RBO 핀이 Low 일 경우BI/RBO 핀이 Low 일 경우 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다. ... -LT 핀이 Low이고 BI/RBO 핀이 High 일 경우LT 핀이 Low이고 BI/RBO 핀이 High 일 경우 다른 입력 핀과 관계없이 모든 7개의 segment가 점등된다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과실험에서 사용된 코드는 아래와 같습니다..//1// module segment(Ain, Bin, ... ;//14// BCD_to_7segment second(P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2);//15// SevenSeg_CTRL(nClk, ... .//14// BCD_to_7segment 형 모듈 second를 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함.//15~
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 ... 파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    실험목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment decoder의 동작원리를 이해한다.3) Encoder의 동작원리를 이해한다.4) 표시장치 ... , 4개의 display가 존재하며 하나의 원하는 패턴을 표시하기 위해서는 해당 7-segment display에 연결된 FND_COMMn 신호를 high상태로 유지하고 나머지 3개의 ... 일반적으로 입력이 출력보다 적고, enable신호가 존재할 경우, 이 신호들에 따라 정해진 기능을 동작한다. 7-segment decoder(74X49)는 이번 실험에서 사용하는 소자인데
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털시스템설계실습_HW_WEEK11
    • Discussion이번 과제는 7 segment up & down counter를 구현해보고 시뮬레이션을 확인, 그리고syntheis 후에 critical path를 분석하는 것이었다
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.11
  • 현대자동차 자기소개서
    7-segment를 연결해 만들었습니다. ... 카운트 회로는 10진 up-down 카운터로 쓰이는 74192칩과 1, 2, 4, 8을 입력을 받아 7-segment에 맞게 출력해주는 decoder, 7개의 LED로 숫자를 표현하는 ... 또한 현대자동차는 2025년까지 상용차 부문에서 전기차 7종, 수소전기차 10종으로 친환경 전동화 라인업을 확장한다는 투트랙 전략을 발표했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.08.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대