• 통큰쿠폰이벤트-통합
  • 통합검색(6,042)
  • 리포트(5,840)
  • 시험자료(116)
  • 자기소개서(37)
  • 방송통신대(20)
  • 논문(18)
  • 서식(7)
  • 이력서(2)
  • ppt테마(2)

"7segment" 검색결과 61-80 / 6,042건

  • led , 7-segment
    segment LED, 7-segment display등이 있다. ... 랩뷰 이용한 led on되는 시간 측정7-segment세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다7-segment는 ... 보통 계측기, 전자시계 및 기다 제품의 숫자표시에 사용된다. 7-segment는 LED를 여러 개 모아 놓은 것이므로 각각의 LED에 전류를 흘려줘야 불이 들어온다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.09.26
  • 7 segment 논리도.
    논리도입니다. 썸네일을 확인하세요.
    서식 | 1페이지 | 500원 | 등록일 2009.04.17
  • Application Design Ⅰ7-segment and Piezo Control
    Pre-Lab Report- Title: Lab#08 Application_Design_Ⅰ @ 7-segment and Piezo_Control-담당 교수담당 조교실 험 일학 번이 ... Materials (Equipment’s, Devices) of this Lab다. Matters that require attentions3. ... 설계가 필요함.7-Segment Decoder 진리표구조Dynamic 7-SegmentStatic 7-Segment의 구조를 제어하기 위해서 1개의 7-Segment를 제어하는데
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • VHDL, ABEL - 7 segment
    ☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. ... ;"C[1,1,1,0,1]->[0,0,0,0,0,0,0];"d[1,1,1,1,0]->[0,0,0,0,0,0,0];"E[1,1,1,1,1]->[0,0,0,0,0,0,0];"FEND seven_segment ... std_logic;DCBA: in std_logic_vector (3 downto 0);Y: out std_logic_vector (6 downto 0) --Y=abcdefg);end
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 7segment
    1"이 들어오면 7Segment의 a에 해당하는 segment 에 불이 들어온다. ... BCD-7세 그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 7-segment 동작구현
    Report< 7-Segment 구동 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 11월 15일학 번 : 200711061이 름 :김성현7-segment ... .2. source10 가지 state에 따라서 각 숫자를 지정해줍니다.00711061을 보이도록 각 세그먼트를 지정해주며, 최종적으로 1010이후의 상태는처음으로 돌아가도록 지정해주는 ... 소스형태입니다.3. sourcekit에서 쓰이는 CLK는 매우 빨라 값을 확인하기에 불편함이 있으므로,CLK를 조정하는 모듈을 설계합니다.4.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.28
  • Encoder .Decoder. 7-segment 결과레포트
    결 과 보 고 서7주차Encoder / Decoder / 7 - segment LED1. ... segment LED1) 7-segment LED 회로를 Quartus II를 이용하여 구현한다.[ 그림 ] 7-segment LED 회로 구성- Seven .v 파일을 불러와서 logic에서 ... segment LED 회로 FPGA 검증[ 그림 ] 7-segment LED 회로 보드 SUCCESSFUL- 다음 사진은 Input에 대한 Output의 결과 값을 FPGA로 검증하는
    리포트 | 14페이지 | 2,000원 | 등록일 2014.01.06
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment의 내부 구조위 그림은 7segment의 내부구조이다. ... segment7개의 선분(획)으로 구성되어있다. ... segment 표시common cathode 방식의 7segment는 표시하길 원하는 획의 데이터가 ‘1’, 끄기를 원하는 데이터를 ‘0’으로 입력한다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3. ... -7 segment 테스트벤치 코드7-segment Controller는 클럭과 리셋이 포함되어 7-segment를 제어 할 수 있다. 10의 자리까지 밖에 사용을 하지 않기 때문에 ... segment의 7bit 출력을 가지게 된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표 3. 7 segment Controller 구현 < SevenSeg_CTRL 사용 ... 7-segment 계산기 구현기본지식1. ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • VHDL을 이용한 설계-7 segment
    클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment ... segment: out std_logic_vector (6 downto 0) --segment ... 클럭 신호에 따라서 segment의 출력이 결정되기 때문에 클럭이 입력, segment가 출력이 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 7-segment
    아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 변환하여 FND에 출력한다 ... 1.실험제목 : 7-세그먼트2.관련이론1) 7-세그먼트 (Seven-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점)7-세그먼트는 발광 ... 그러므로 7-세그먼트를 선택할 경우에는 필요한 LED가 AC형인지 CC 형인지를 확인하여야 한다.2) 7세그먼트 표시 장치다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 7-segment켜기
    7-segment 켜기- 목적슬라이드 스위치 3개를 이용하여 배운 이론으로 7-segment를 0부터 7까지 켜는 논리회로도를 설계한다.1.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.30
  • 7segment디지털회로실험보고서
    직접 눈으로 우리가 설계한 카르노맵을 이용하여 브레드보드에 IC 소켓을 배열하고 7segment에 0~F.가 표시 됐을 때는 조원 모두가 흥분을 감추지 못했다. ... 디지털 회로실험 보고서BCD-to-7Segment과 목담당교수조 원제 출 일BCD-to-7Segment 디코더BCD-to-7Segment 디코더는 4비트로 구성된 BCD 값을 입력으로받아들여 ... 7Segment 표시기에 사용되는 a, b, ...., h 신호를 만들어내는조합회로이다.7Segment입 력출 력표시숫자WXYZabcdefgh*************100010110000020010110110103001111110010401000110011050101101101106011010111110701111110010081000111111109100111110110A
    리포트 | 8페이지 | 1,000원 | 등록일 2012.12.01
  • 세그먼트(7segment) 실험보고서
    목적- 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 대해 실험한다.3. ... 이번 실험으로 7segment decoder의 동작 원리를 알게 되었다.- 이번 실험은 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 ... 대해 실험했다. 7segment를 제어하기 위해서는 8개의 포트가 필요하 다.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. ... *************0002110110131111001401100115101101161011111711100108111111191111011('1'-ON,'0'-OFF)3) segment제어를 ... )를 이용한다.① 특성 세그먼트 선택하고 그 세크먼트에 디스플레이할 데이터 출력② 다른 세그먼트 선택하고 그 세그먼트에 디스플레이할 데이터 출력5) Digit 신호를 사용하여 각 segment마다
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 7-segment 구동실습
    또한 여러 가지 변수들을 고려할 수밖에 없는 논리식 작성에 있어서 불대수를 이용하지 않고는 불가능 하였을 것이다. 3변수를 통한 7-segment를 0~7까지 조작할 수 있도록 논리회로도를 ... 고찰우리 주변 시계나 엘리베이터 숫자 등을 많이 표현하는 7 -segment를 표현하는 논리회로도를 작성해봄으로 인해서 그동안 접해보지 못했던 진리표를 통한 카르노맵 작성, 불대수를 ... 스위치3개를 이용하여7-Segment를 0~7까지 제어1. 3개 변수를 이용한 진리표 작성스위치A스위치B스위치Cabcdefg*************010110000201111110013010110110141000110011510110110116111111000071101011111BCA000111100101110111
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.01
  • CodeVisionAVR을 이용한 전자판설계(7segment,스위치)
    Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결ⅲ. ... Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결Ⅵ. ... Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결ⅲ.
    리포트 | 21페이지 | 1,000원 | 등록일 2013.05.11
  • 논리회로실험) Decoder / Encoder / 7-segment LED
    예 비 보 고 서7주차실험6 : Decoder / Encoder / 7-segment LED1. ... - 7-segment-LED 란 숫자나 간단한 알파벳 등을 표현해주는 디스플레이이다. ... 기본 실험 이론- 이번 실험에서는 Encoder 와 Decoder 그리고 7-segment LED decoder를 이해하고 실험을 하는 과정이다 .
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • 7-segment를 이용한 학번 출력
    디지털 회로 실험(학번 출력기)전자.전파공학2006200378한 효 준4-bit Binary Counter 74161을 이용 학번 출력하기진리표현재상태다음상태D Flip-Flop학번DCBAD+C+B+A+DDDCDBDA0000000000*************106001..
    리포트 | 4페이지 | 2,500원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대