• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,473)
  • 리포트(5,810)
  • 시험자료(342)
  • 방송통신대(230)
  • 자기소개서(40)
  • 논문(38)
  • 서식(10)
  • ppt테마(2)
  • 이력서(1)

"HDL" 검색결과 201-220 / 6,473건

  • 임베디드 시스템 레포트
    Report # 2.I. Define the following terminologies.1. Floating in Electrical Signal- 플로팅 상태는 스위치가 열렸을 때 안정되지 못하고 신호선이 High인지 Low인지 어떤 값인지 결정되지 못하는 불확실한 ..
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 시립대 전전설2 [9주차 결과] 레포트
    Purpose of this LabVerilog HDL 언어를 사용하여 character display를 위한 VFD장치 제어를 실험을 한다.나. ... %201.pdf" http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201 ... 2Fwww.google.co.kr%2F3) Hyperlink "http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • 현대케피코 연구개발 직무 첨삭자소서
    HDL 프로그램에 집중하는 것이 좋겠다고 판단했습니다. ... 했었고, 수업 시간에 배우는 Verilog HDL이라는 프로그램에만 집중하는 것을 알았습니다. ... 이 노력은 Verilog HDL을 사용하는 하드웨어 설계라는 과목에서 교수님이 내주신 기말 프로젝트에 빛을 발했습니다.당시 저는 타 인원들과 차별화될 수 있는 프로젝트를 준비하려고
    자기소개서 | 10페이지 | 3,000원 | 등록일 2023.02.03
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 목적본 실험에서는 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 직접 설계하고 실험하여 실제 어떻게 응용되어 사용될 수 있는지 ... 예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 음식과 도덕
    지방도 단순히 모든 지방을 뜻하는 것이 아닌 트랜스 지방과 가공 지방을 제외한 좋은 지방이라고 불리는 HDL을 섭취하는 것을 권장한다는 것도 건강을 위협하지 않는다고 생각했습니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.11.15
  • 121. (PT_전공 주제) 반도체 디지털 회로설계를 아날로그 회로 설계와 비교하고, 완성된 제품군을 각각 예시 하시오
    반도체 디지털 회로설계의 개념반도체에서 디지털 회로 설계란 다양한 제품을 개발하기 위해 하드웨어 기술 언어(HDL, Hardware Description Language)와 관련 설계 ... 툴을 활용하여 HDL 코딩, 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작 및 특성 확인과 같은 반도체 설계 과정을 수행하고, 이를 토대로 회로를 설계 및
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.06.09 | 수정일 2024.06.05
  • 뷰웍스 회로설계 합격 자소서
    자소서- 영상 솔루션 개발지원 직무- 영상 솔루션 개발을 위한 디지털 로직설계- 센서 제어 및 영상 입출력, 영상처리를 FPGA칩으로 구현(비메모리 반도체 설계)-영상처리 알고리즘을 HDL
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.02.18
  • 사업장 대사증후군 관리 예방 교육 PPT자료
    남자 40mg/dl 이하 , 여자 50mg/dl 이하 HDL: 고밀도 지단백 콜레스테롤 (High dencity lipoprotein) 나쁜 콜레스테롤 (LDL) 수치 D own ... Metabolic Syndrome 중성지방 150mg/dl 이상 , 또는 투약 중 Chapter 2 이상지질혈증5 증후군의 5 가지 진단 기준 대사 Metabolic Syndrome 낮은 HDL
    ppt테마 | 21페이지 | 4,900원 | 등록일 2022.09.16 | 수정일 2022.11.03
  • 이상지질혈증의 약제별 치료와 CETP 억제제
    , 운동 병행 -> 10%의 HDL-C 상승 기대 3) HDL 콜레스테롤 1mg/dL 증가할때마다 심혈관질환 위험 2-3% 감소 ... 저 HDL 콜레스테롤혈증HDL< 40mg/dL1) 관상동맥 위험인자 : 위험도 60%↑2) 고중성지방혈증과 흔히 동반3) 제2형 당뇨병, 신기능 이상, 간기능 이상, 자가면역질환 동반 ... 4) 아시아인 (33.1%) vs 비아시아인 (27.0%)저 HDL 콜레스테롤혈증 치료1) LDL 콜레스테롤 목표치 이하로 낮추기 2) HDL 콜레스테롤 상승을 위한 금연, 체중감소
    리포트 | 20페이지 | 3,500원 | 등록일 2023.04.09
  • [A+ 영양판정 및 실험 레포트] 동물실험, 식이조절, 해부 (조성에 차이를 둔 식이를 각각 제조하여 2주간 실험쥐를 사육 후, 해부)
    Cholesterol 정량-① 효소용액(Cholesterolester hydrolase 효소, Cholesterol 산화효소, 과산화효소, 4-아미노안티피린, Phenol)-혈청 HDL
    리포트 | 19페이지 | 2,000원 | 등록일 2021.01.06 | 수정일 2021.01.08
  • 파두 면접 기출 질문 리스트 + 합격자 답변 템플릿 노하우 [기밀 자료]
    2 리눅스 커널, HDL 에 대해 설명해주세요.3 프로젝트 중 마주한 어려운 문제나 상황을 어떻게 해결했나요?
    자기소개서 | 44페이지 | 8,500원 | 등록일 2023.05.03
  • 서울시립대 전자전기설계2(전전설2) 5주차 사전보고서
    In-Lab에 대하여 Verilog HDL 코딩을 하고 Synthesize ?
    리포트 | 10페이지 | 1,500원 | 등록일 2019.10.13
  • 2022년 상반기 LG디스플레이 반도체/디스플레이 합격자소서
    학교수강교과목"1 프로그래밍- 자료구조 A+, 고급프로그래밍 A-, 알고리즘 A-, 운영체제 A+2 HDL, FPGA 설계- 디지털공학실험 B+, 디지털시스템설계 A-, IoT실험 ... SoC설계 A+3 기타 심화 과목- 멀티미디어융합기술 A+, 마이크로프로세서응용 A+, 융합캡스톤디자인 A0"프로젝트 내용 및 역할"1 mu0 프로세서, 메모리 설계- Verilog HDL
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.08
  • [건강관리] 대사증후군(metabolic syndrome)이 무엇이며, 그 진단 기준에 대하여 논하시오
    허리둘레, 고혈압, 고혈당, 중성지방, HDL 콜레스테롤 등을 기준으로 진단되며, 이러한 진단 기준을 충족하는 경우 대사증후군으로 분류됩니다. ... 콜레스테롤: 남성은 40mg/dL 미만, 여성은 50mg/dL 미만인 경우 HDL 콜레스테롤 저하로 분류되며, 이는 심혈관 질환 위험을 나타냅니다.2. ... 무엇이며, 그 진단 기준에 대하여 논하시오서론.대사증후군은 현대 사회에서 보편적으로 발생하는 대사 이상을 포괄하는 질환으로서, 비만, 고혈압, 고혈당, 고중성지방혈증, 그리고 낮은 HDL
    리포트 | 2페이지 | 3,500원 | 등록일 2024.01.25
  • 고지혈증, 이상지지혈증 ppt 자료
    정의 ( 검사 수치 ) LDL C 중성지방 정상 ≤ 129 150 경계 130- 149 150- 199 높음 ≥ 150 ≥ 200 LDL /HDL / 중성지방 HDL C 높음 ≥ 60 ... 지방과 콜레스테롤 등의 지방질이 혈액 중에 많아진 상태 혈중 콜레스테롤이 240mg/dl 이상인 경우 이상지질혈증 혈중 총콜레스테롤 , LDL 콜레스테롤 , 중성지방이 증가된 상태 HDL ... ( 지질의 종류 ) 저밀도지단백 콜레스테롤 (LDL cholesterol) 혈관 벽에 동맥경화 발생 심혈관질환 및 뇌혈관질환 유발 130mg/dl 이하 고밀도지단백 콜레스테롤 (HDL
    리포트 | 21페이지 | 2,500원 | 등록일 2024.01.07
  • 신체활동과 콜레스테롤 수준
    HDL 증가와 LDL 저하의 조합이 결과적으로 콜레스테롤의 전반적인 수준은 그대로 유지시키지만, HDL에 대한 전체 콜레스테롤의 비율이 좀 더 건전해지고, 심장질환의 위험을 줄여준다 ... 운동이 기본적으로 전체 콜레스테롤은 변화하지 않은 채로 LDL을 낮춰주고 HDL을 높인다(Tolfrey, Jones, & Campbell, 2000), 규칙적인 유산소 운동이 HDL을 ... 식이 요법과 병행을 하든 그렇지 않든 간에, HDL에 대한 전체 콜레스테롤의 비율을 더 바람직하게 만든다.
    리포트 | 3페이지 | 3,500원 | 등록일 2022.02.08
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    HDL의 문법과 의미는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 특징인 시간과 동시성를 표현할 수 있는 표기들이 명시적으로 존재한다.HDL은 두 가지 종류의 시스템을 설계하기 ... 이런 경우 HDL은 회로가 설계되고 만들어지기 전에 그 회로의 동작을 예측하는 모델을 제공한다. 두 번째는 FPGA같은 PLD를 프로그램하기 위해 사용한다. ... HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다. 대개의 경우, 테스트를 진행하며 여러 번 코드를 수정하여 기기에 올려볼 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    HDL의 문법과 의미는 소프트웨어 프로그래밍 언어와 달리 하드웨어의 주요한 특징인 시간과 동시성를 표현할 수 있는 표기들이 명시적으로 존재한다.HDL은 두 가지 종류의 시스템을 설계하기 ... 이런 경우 HDL은 회로가 설계되고 만들어지기 전에 그 회로의 동작을 예측하는 모델을 제공한다. 두 번째는 FPGA같은 PLD를 프로그램하기 위해 사용한다. ... HDL로 작성된 코드는 로직 컴파일러를 이용하여 컴파일한 후 해당 기기에 올려진다. 대개의 경우, 테스트를 진행하며 여러 번 코드를 수정하여 기기에 올려볼 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • ETRI (한국전자통신연구원) 인턴 채용 합격 이력서와 자기소개서 (제어계측, 정보통신, 전기, 전자, IT, 컴퓨터, SW)
    , Hardware Description Language)와 관련 설계 툴을 활용하여, HDL 코딩, 시뮬레이션, 게이트 레벨 합성, 포스트 시뮬레이션을 통한 회로의 동작, 특성 확인 ... 칸이 모자라면 만들고, 남으면 삭제 (양식 수정 가능시)◆ 직 무 기 술 ( 프로그램, 장비, TOOL 등 )기술명활용 사례 / 수준비고HDL 코딩 기술디지털 시스템의 모델링을 비롯하여 ... 설계, 문서화, 검증, 합성을 할 수 있으며, 게이트 레벨뿐만 아니라 동작 및 구조적 레벨에서 하드웨어를 표현할 수 있다.디지털 회로 설계반도체 설계 과정 중 하드웨어 기술 언어(HDL
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.05.18
  • 성인케이스 - 심근경색(Myocardial Infarction)
    또한 체중감소, 금연, 운동 등은 HDL을 증가시키고 LDL을 감소시킨다.※관상동맥질환 예방을 위한 기준※- 총콜레스테롤/HDL ratio < 4, 총콜레스테롤 ... HDL은 콜레스테롤을 간으로 운반하고 간은 담즙을 통해 콜레스테롤을 제거하도록 도와줌으로써 죽상경화증의 발생을 예방하는 것으로 알려져 있다. ... LDL 수치를 낮추고 HDL 수치를 높이면 관상동맥질환에 이환될 확률이 낮아진다. 지방 섭취를 줄이면 지방대사가 감소하고 콜레스테롤의 생성도 감소한다.
    리포트 | 28페이지 | 2,500원 | 등록일 2023.03.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:38 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기