• 통큰쿠폰이벤트-통합
  • 통합검색(956)
  • 리포트(925)
  • 시험자료(16)
  • 자기소개서(11)
  • 논문(2)
  • 방송통신대(2)

"flip-flop회로" 검색결과 201-220 / 956건

  • 예비보고서(2) 플립플롭
    영문으로 쓰는 경우에는 flip-flop이 아니고, 바이스터블 트리거 회로(bistable trigger circuit)라든가, 바이스터블 회로라고 하는 쪽이 일반적이다. ... 목적이 장에서는 순서논리회로의 기반이 되는 플립플롭(flip-flop)을 RS, D, T, JK, 주종 플립플롭 등을 대상으로 하여 동작 원리를 살펴보고 전반적인 이해를 도모하도록 ... 이와 같이 두 개의 상태를 갖는 회로를 쌍안정 회로(bistable-circuit)라고 한다. 스위치로 말하면 토글 스위치이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2020.10.14
  • 서강대학교 디지털논리회로실험 레포트 10주차
    따라서 이 시스템의 출력 기의 -입력에 공급되고 비교기의 +입력에 연결된 analog 입력 신호의 전압이 0V이면 첫 flip-flop이 hold mode를 유지하게 때문에 flip-flop들로 ... 이 상태에서 +입력에 연결된 analog 입력 신호의 전압이 증가하면 flip-flop은 toggle mode가 되고 따라서 클럭 신호에 의해 counter의 내용이 증가하기 시작한다 ... 실험 목적:1) Digital-to-analog 변환(DAC)-digital 신호를 analog 신호로 변환하는 회로의 동작 원리를 이해한다.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    플립플롭(Flip-Flop) : 1비트를 기억하는 논리회로이다. 전원이 공급되는 한, 상태의 변화를 위한 신호(클럭) 가 발생할 때까지 현재의 상태를 유지하는 논리회로이다. ... 의해서만 결정되는 회로이다.- 회로 내에 기억회로를 가지지 않는다.- 불대수를 사용한다.(3) 종류-반가산기(Half Adder) : 2진수 2개를 더하여 합(Sum)과 캐리(Carry ... 조합 논리회로(1) 정의 : 출력이 현재 시점에서 회로 입력값만으로 결정되는 것을 조합 논리회로라고 한다.(2) 특징- 논리 게이트들로 구성되어 있다.- 출력값이 0과 1의 입력값에
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    Flip-Flop and Register, SIPOpost-lab reportFlip-Flop and Register, SIPOpost-lab report과목명전자전기컴퓨터설계실험2담당 ... Flip-Flop (03)2.4. Data Transfer (05)2.5. Serial Input Parallel Output(SIPO) (05)2.6. ... 시계의 카운터 회로나 기타 복잡한 디지털 회로에는 필수적 요소이다.SETRESETQ11변화 없음01110000유효하지 않음[표 1] S-R 래치(NAND)의 진리표[사진 1] S-R
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 6주차-실험17 예비 - 쉬프트 레지스터
    flop 및 Register를 비교, 설명하라.⇒ Latch는 clock이나 Enable 신호에 의해 활성화 된 때에만 결과가 나오는 것입니다.Flip flop은 clock을 Edge의 ... 실험 시작 후 4clock 후에 출력 A에 1이 입력되어 A->B->C->D->A 순으로 1만 순환하게 됩니다.(5) 의 회로를 구성하고, 다음의 과정을 따라 실험하라.1. ... EH 현 상태에서 클럭을 네 번 가하면서 SO의 출력을 차례로 기록하라.이 회로를 직렬입력-직렬출력 레지스터로 사용하는 실험을 해보라.⇒ JK플립플롭을 이용하여, 쉬프트레지스터를 구현
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • filp flop(sr, d) 결과보고서(기초실험1)-틴커캐드
    Edge Triggered D Flip-flop2-(2)에서 Clocked D latch를 구현한 것을 이용해 edge triggered D F/F을 구현했다. ... 결과보고서학 과학 년학 번조성 명실험 제목Flip_Flop1(SR, D)실험 결과1. ... 신호에 따라 회로가 SR Latch로 작동하거나 이전 상태가 유지되는 것을 알 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.05.03 | 수정일 2023.11.29
  • 컴퓨터구조 계산기설계보고서
    Flip-Flop- IR 입력 및 SC2.설계1)타이밍 클럭처음에 START를 주어 한 클럭을 주게 됩니다. ... to 1 MUX- SA, ALU 로부터의 데이터 선택742834bit Full Adder- 연산 동작 수행7476J-K Flip-Flop- 발생된 Carry 저장 및 SC7474D ... 그리고 출력부분은 74194의 입력으로 들어 가게된다.위의 내용을 토대로 회로도를 구상한 그림이다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.01.01
  • ring,jhonson counter 예비레포트
    회로가 리셋되면, 플립플롭의 출력 중 하나를 제외하고 나머지 모든 출력이 0으로 된다. n-flip-flop ring counter에서, MOD-n counter 가 있다. ... -jhonson counter존슨 카운터는 플립플롭이 피드백 방식으로 직렬로 연결된 디지털 회로 이다. ... 디지털 회로이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 디지털공학개론 ) 1. JK 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오. 2. T 플립플롭을 이용하여 3비트 2진 카운터를 설계하는 과정을 나타내시오.
    플립플롭이 무엇인가.Flip-Flop은 클럭 입력을 가지는 2진 기억소자로서 쌍안정 회로라고 불리기도 한다. ... 플립플롭은 비트 기억을 위해 순서논리회로에서 사용되는 요소로서 역할하고 있다. ... 즉 이는 클럭 입력이 존재하는 동기식 순서논리회로의 기본적인 소자이다. 이 플립플롭은 ‘클럭’ 입력과 ‘래치’ 소자로서 이루어져 있다.
    리포트 | 6페이지 | 5,000원 | 등록일 2023.01.27
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    좀 더 복잡한 회로에서는 Flip Flop을 사용한 sequential logic 이 더 많이 사용될 텐데 코드에 좀 더 익숙해지도록 노력해야 할 것 같다.디지털시스템설계 실습 8주차 ... 적당한 값을 사용해서 register가 정상적으로 작동함을 확인했다.이번 과제에서는 이전 과제에서 다뤘던 hierarchical한 코드 구현을 활용하고, 처음으로 Flip Flop을 ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 연세대 반도체 융합전공 합격 자기소개서 및 학업계획서
    디지털논리회로'는 기본적으로 0과 1의 논리 회로에 대한 Logic Gates, Flip-Flops, Counter 등 여러 디지털 시스템을 설계하고 해석하는 방법을 학습합니다.' ... 2024-2 지능형반도체융합 연계전공 장학생 지원서회로 시스템 지원1. ... ‘기초회로이론'은 회로를 해석하는 방법과 인덕터, 커패시터, RLC 회로 등을 중점적으로 배워 전기회로의 동작 원리를 배우는 과목입니다.해당 과목을 통해 회로 설계의 기초를 다질것이며
    자기소개서 | 2페이지 | 8,000원 | 등록일 2024.08.20 | 수정일 2024.08.22
  • 중앙대학교 실험 결과 보고서(카운터 설계)
    (C) JK Flip flop을 하나 더 사용하여 16진 비동기 카운터를 설계하고 동작 검증사진과 함께 결과를 기술하라.=> 다른 조의 실험을 통해 확인1-4-2 리셋회로를 사용한 ... .1-5 검토사항4진 카운터를 먼저 만들려고 회로를 구성했는데 결과값이 나오질 않았다. ... 과목명담당 교수학과학번실습일결과 보고서10.전자전설계실습 10.1-4 설계실습 방법(비동기식 4진 카운터 회로)CLK가 falling edge일 때 값이 변한다.값이 변하는 것은 이전상태를
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • A+ 광통신 - 15. Eye Diagram, Dark current, BER
    .※ Eye Diagram을 보는 이유시스템이 안정이란, 기본적으로 각부에 있는, Threshold(D Flip Flop)에 에러가 없도록 데이터를 받는 것을 의미한다.? ... 대부분 외부에서 관내로 방사선이 들어와 남아 있던 기체와 상호작용하여 기체가 이온화되거나 음극이 열 진동 때문에 전자를 방출하든지, 전기적인 절연불량으로 회로에 전류가 흘러서 생긴다 ... 개안 개수 - 아래 아이패턴은 2진 시스템 例이며, M진 시스템에서는 개안 개수가 (M-1)개임⑧ ISI 영향 - 심볼간 간섭(ISI)의 영향은 눈의 모양을 닫히게 함?
    리포트 | 4페이지 | 1,500원 | 등록일 2024.02.05
  • 8주차 예비보고서- 디지털 시스템 설계 및 실험
    순차회로는 현재 상태를 기억하고 있기 때문에 메모리 소자(Latch 또는 Flip-Flop)를 가지고 있습니다.always문의 타이밍 제어가 이벤트일 경우 Sensitivity List에 ... 해당하는 이벤트가 발생할경우 아래 순차회로가 실행되게 됩니다. ... 내부회로가 플립플롭으로 되어있으면 SRAM이라하고,캐패시터와 MOSFET로 되어있으면 DRAM이라한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 울산대학교 디지털실험예비22 디지털 논리회로의 전압특성과 지연시간
    이 3가지 동작들을 결합하면 NAND, NOR, Exclusive OR, Multiplexer, Exclusive NOR, Flip-Flop 등과 같은 확장된 논리연산과 저장기능을 ... 디지털회로 22. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 논리는 전기회로에서 전압으로 처리된다. 논리 1은 회로에 따라 5V이기도 하지만, 어떤 회로는 12V일 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털공학개론 ) 디지털 IC의 기본 특성을 설명하고, 기억소자를 갖는 조합논리회로와 기본 플립플롭 회로에 대해서 설명하세요
    기본 플립플롭 회로Flip-flop, 플립플롭 회로란 1비트의 정보를 기억할 수 있는 논리 회로를 뜻한다. ... 서론 - 디지털 IC의 기본 특성디지털 집적 회로는 아날로그 회로와는 반대되는 개념으로서 일반적으로 불 대수로 표현되는 회로를 가리킨다. ... 서론 - 디지털 IC의 기본 특성II. 본론1. 기억소자를 갖는 조합논리회로2. 기본 플립플롭 회로III. 결론IV. 참고문헌I.
    리포트 | 5페이지 | 5,000원 | 등록일 2023.01.25
  • 조선대 동물조직학 중간고사
    diffusion (측면확산)- Rotation (회전)- Flexion (꼬리흔들림)- Flip-Flop (위아래로 뒤집힘) : 친수성의 인 분자가 소수성인 지방산 부분을 지나야하기 ... Flip-Flop 현상에 의해, 비대칭적으로 존재하는 막단백질과의 상호작용에 의해 인지질 이중층의 비대칭성이 유지된다. (비대칭적인 방법으로 합성되고 삽입되기 때문에)9. ... 때문에 Flipase라는 특수한 단백질 도움 필요③ Asymmetric (비대칭성): Flip-Flop 현상, 막단백질에 의해세포막 안과 밖의 인지질의 특징이 서로 다름7.
    시험자료 | 10페이지 | 4,000원 | 등록일 2024.06.10
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    플립플롭(flip-flop)과 같은 논리소자를 만들기 위한 단계이다.두 번째로 논리 설계(logic design) 단계는 논리소자들을 연결시키는 단계로 가산기, 카운터, 레지스터와 ... 되면XYFXYZ(그림 4-4, AND-OR 논리회로도)XYFXYZ(그림 4-5, NOT-OR 논리회로도)XYFXYZ(그림 4-6, NAND-OR 논리회로도)X와 Y는 AND인 관계이므로 ... 이러한 과정을 수행하면 (그림 4-5)같은 NOT-OR 논리회로도가 된다.
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험(Flip-Flop, Register, SIPO 등)하고, 설계한 로직을 시뮬레이션하기 ... Prelab(1) 조합(combinational)회로와 순차(sequential)회로의 차이점에 대하여 조사하시오.- 조합논리회로는 입력하는 순간 일련의 처리를 거치고 출력하는 회로이다 ... S-R 플립플롭- S-R 래치에 클럭을 추가한 회로SRCLKQ00Q0(이전 출력값)10101011(입력 금지)c.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 실험23_계수기 회로_결과레포트
    실험이론⑴ 계수기 회로계수기는 JK flip-flop(이하 FF)의 toggle동작을 이용하여 입력되는 Clock의 수를 세는 디지털 회로이다.그림 23.1 2-bit 계수기계수기내의 ... IC칩을 이용한 MOD-4 계수기 구동⑴ SN74LS76A IC칩을 사용하여 그림 23.1의 회로를 보드에 설치한다.⑵ Vpp=5V, Offset VDC=2.5V,f=1㎑의 구형파를 ... 계수기 회로실험일 : 2000 년 00 월 0 일제출일 : 2000 년 00 월 0 일학 과학 년분 반조학 번성 명전자전기공학부2▣ 결과보고서1.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대