• 통큰쿠폰이벤트-통합
  • 통합검색(1,679)
  • 리포트(1,537)
  • 자기소개서(123)
  • 시험자료(6)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계및실험" 검색결과 221-240 / 1,679건

  • 홍익대_디지털논리회로실험_5주차 예비보고서_A+
    디지털 논리실험설계 5주차 예비보고서실험 준비1.1 4.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... 이를 ∑ = (A⊕B으로 구현했다.1.2 응용 실험 (1), (2)의 회로를 구현하시오.응용실험(1)전가산기를 두개 이용하여 두 자리 이진수 덧셈기를 구현했다. ... 이때 두번째 전가산기의Carry in에는 첫번째 전가산기의 Carry out을 연결했다.응용실험(2)응용실험(1) 회로와 거의 유사하지만 첫번째 전가산기의 Carry in에 1이 입력된
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • 시립대 전전설2 A+ 3주차 예비레포트
    실험 목적31.2. 배경이론 및 개념32. 사전 조사93. In-Lab 실험 내용 및 예상결과173.1 실험 내용173.2. 예상결과204. 참고 문헌241. 서론1.1. ... In-Lab 실험내용 및 예상결과3.1. ... 실험 내용실습1다음 로직을 Behavioral Modeling방법 (if와 else 사용)으로 디자인하여 테스트벤치 시뮬레이션 검증 및 장비 동작을 확인하시오.입출력 포트로직 설계실습2Four-bit
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    디지털논리회로실험결과 보고서[8주차]실험 7. Finite State Machines1. ... 실험 결과 및 분석1) 중간고사 1-(a)번의 회로를 schematic으로 구현하여 시뮬레이션이번 실험에서는 schematic으로 그린 회로를 키트에 FPGA로 구현하지 않고, 시뮬레이션으로 ... 실험 개요1) Finite state machine (FSM) 회로설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계실험하고, 설계한 로직을 시뮬레이션하기 위한 벤치를 작성하고 장비로 동작을 확인한다.나 ... 연속 할당문- assign문을 이용하여 net형 객체에 값을 할당- 우변의 값에 변화(event)가 발생했을 때 좌변의 객체에 값의 할당이 일어남- 단순한 논리 표현을 이용한 조합논리회로 ... testbench 시뮬레이션 결과 설계한 Half Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값이 00, 01, 10, 11)- 실험 결과: 입력은 A(Button
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 전자전기컴퓨터설계실험2(전전설2) (4) Arithmetic Logic and Comparator
    실험 목적본 레포트에서는 베릴로그 HDL을 사용하여 조합 논리설계실험한다. ... 산술 연산 논리와 비교기에 대해 행위수준 모델링 또는 module instatiation을 이용한 구조적 설계 등을 실험하고, 설계논리를 시뮬레이션하기 위한 테스트 벤치를 작성한 ... 실험 이론2.1. Adder가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다.
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    디지털 논리실험설계 3주차 예비보고서실험 준비1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.기본 실험 (1)의 회로는 입력 A,B를 받아 Y0, Y1, ... 다음과 같다. n개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n제곱 개의 출력 회선 중 그 숫자에 해당되는 번호에만 1을 내보내고 나머지는 모두 0을 내보내는 논리 ... 이 경우에 n = 2이기 때문에 2-bit 복호기라 볼 수 있다.1.2 기본 실험 (2)의 회로가 2-bit 부호기인 이유를 설명하시오.부호기는 복호기의 반대라고 생각할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 산술논리연산회로 실험보고서
    실험보고서산술논리연산회로1. ... 실험목적본 실험을 통해 산술논리연산회로에 대해 알아본다.산술논리연산회로를 구현하여 산술연산회로 동작을 확인해본다.산술논리연산회로를 구현하여 논리연산회로 동작을 확인해본다.2. ... 실험방법 및 순서5.1 해당 실험의 기초 이론을 참고하여 [그림 6-2]의 산술연산회로를 결선하라.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • 기초전자설계실험 예비보고서 JK Flip-Flop과 클락생성
    수동진동자는 고정된 클락을 생성하며 출력단에 분배회로를 구성하여 낮은 주파수를 얻을 수 있다.실험회로 및 시뮬레이션 결과4.1)JK Flip-Flop설계문제 1 : NAND와 NOR게이트 ... -실험전 예비보고서를 준비할 때 NOR와 NAND게이트를 조합한 동기식 JK Flip-Flop 논리회로를 구성한다. ... -실험 전 예비보고서를 준비할 때 수동진동자를 이용하여 주어진 클락 값을 얻기 위한 회로설계한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 목적본 보고서에서는 베릴로그 HDL을 사용하여 순차 논리설계실험한다. ... 디지털 시스템 설계에서의 회로를 구성할 때, 조합 논리와 결합하여 순차 회로의 기능을 구현하는 중요한 요소이다. ... 플립플롭과 레지스터, SIPO를 행위수준 모델링으로 구현하고, 설계논리를 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 확인한다.2. 실험 이론2.1.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 충북대 기초회로실험 반가산기 및 전가산기 예비
    반가산기 및 전가산기(예비보고서)실험 목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다.이론(1) 2진 연산(Binary Arithmetic ... 개의 반가산기와 1개의 OR 게이트로 구성할 수 있다.예비과제(1) 이론 부분을 이해하고 AND, OR 및 NOT 게이트만을 사용하여 전가산기를 설계하라.S _{n} `=` bar ... SN7486(Quad 2-input XOR gate)실험(1) 다음 회로를 구성하고 진리표를 작성하라.(2) 을 확장하여 다음 회로를 구성하고 진리표를 작성하라.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • [평가계획서][평가계획안][평가규정] 2학년 정보 교과 학생 평가 규정
    컴퓨터 설계의 기본이 되는 디지털 설계의 불대수와 논리연산을 이해하고, 기본적인 논리회로설계한다.정보3211-1. ... 컴퓨터의 기본적인 논리회로설계할 수 있다.상다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 비교하여 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있고 ... 설계할 수 있다.중다양한 논리 게이트의 기호, 논리식, 진리표, 논리 회로의 특징을 설명할 수 있고, 조합 논리회로로 구현된 예를 찾을 수 있다.하다양한 논리 게이트의 기호, 논리
    리포트 | 10페이지 | 3,000원 | 등록일 2023.04.21
  • 중앙대학교 전자공학과 합격 자기소개서, 학업계획서
    더 나아가 개인적으로 FPGA 설계소프트웨어 Quartus를 사용하여 논리회로와 순차회로를 사용한 파형을 직접 분석해보며, 경제성을 고려한 제품을 제작해보았습니다.전자전기공학은 우리의 ... 또한 논리회로 전공 수업을 통해 직접 회로를 구성하고 더 효율적인 회로를 구성하기 위한 방법을 모색하는 실습을 진행했습니다. ... 신소재실험실에 진학하여 반도체 공정 개발 실험에 참여하고 싶습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.12.28
  • 시립대 전전설2 A+ 5주차 예비레포트
    실험 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계함Encoder/Decoder, Mux/Demux 등 개념 학습 및 설계실습Behavioral ... In-Lab 실험 내용 및 예상결과3.1. ... ’b11이고 S가 0일 때와 1일 때A-2’b10, B=2’b01이고 S가 0일 때와 1일 때장비에서 동작을 검증하시오.6) 응용 과제case문을 사용하여 아래의 진리표를 가지고 논리회로
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • sr latch,D,T flip-flop 예비레포트
    -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다.3. ... 그러나 PAL이 일반적으로AND - OR 게이트로 된 구조적인 어레이를 취함에 따른 회로 구현의 효율성이 낮은 것에비하여 다양한 형태의 디지틀 회로를 구현할 수 있는 논리 및 연결 ... 실험 제목 [SR Latch, D Flip Flop, T Flip Flop]2.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 기계공학응용실험-PLC응용실험(A+)
    래더 다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.입력출력NOT 연산자AND 연산자OR연산자(3) PLC의 작동원리PLC의 세 가지 주요 부분은 ... 실험 방법회로에 대한 설명1. 녹색 버튼을 누를 시 컨베이어 벨트가 움직이기 시작한다.2. ... 논리 회로의 형태와 같은 구조를 기술하는 데 필요한 수학적 이론으로 변수들의 입출력 관계를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참(T, ON)과 거짓(F, OFF)의 두
    리포트 | 5페이지 | 3,000원 | 등록일 2021.03.21 | 수정일 2021.05.13
  • moore,mealy machine 예비레포트
    관련 이론 및 회로F.S.M(finite state machine) 이란 컴퓨터 프로그램과 전자 논리 회로설계하는 데 쓰이는 수학적 모델이다. ... 실험 제목 [Moore & Mealy machine]2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    아날로그 및 디지털 회로 설계 실습-실습 10 예비보고서-7-segment / Decoder 회로 설계소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.11.18(목) ... 추가로 여러가지 기호나 알파벳 문자를 코드화 하기도 하며 코드화 하는 것을 인코딩이라 한다.인코더의 예시 중 하나인 8-to-2진 인코더의 진리표와 논리도는 다음과 같다.실험에서 사용할 ... 실습 목적- 7-segment 와 Decoder 를 이해하고 관련 회로설계한다.3.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • SK하이닉스 소자 직무 합격 자기소개서
    저는 실험당일 바뀐 D flip flop을 이용하여 수정된 회로설계하였고, 추가 과제인 7segment display에 최소의 전선을 이용하여 숫자를 출력하는 논리회로를 구현하였습니다 ... 디지털 회로 설계에 자신이 있었던 저는 전반적인 회로 디자인을 보기쉽게 작성하여 실험전 팀원들에게 나눠주었고, 해당 회로의 소자들에대한 조사를 함께 진행하였습니다. ... 연구하며 저는 chip을 이용한 회로설계도 재미있지만, chip을 구성하는 회로설계를 직접 해보고 싶다는 생각을 하였습니다4.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.02.06
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd)
    -실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(ALU, BCD-to-7segmemt)]-관련 이론1. ... BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 2진법에 의해 동작하지만 사람은 2진수를 사용하지 않는다. ... 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.06.20
  • SK하이닉스 회로설계직 합격자소서
    디지털 회로 실험 프로젝트로 논리게이트나 verilog를 이용하는 프로젝트에서 논리게이트를 이용해 엘리베이터 미니어처 제작을 시도했습니다. ... 앞으로도 전 제가 좋아하는 일을 선택하고, 성장해 나갈 것입니다.2.SK하이닉스 및 해당분야 지원동기 [1000자 이내]설계 엔지니어를 제 꿈으로 갖게 한 계기는 전자회로1 설계프로젝트였습니다 ... 1.대학 및 대학원 시절 [1000자 이내]처음 회로이론이라는 과목을 접했을 때, 한 문제를 풀기 위해 온종일 문제와 싸우기도 하고, 여러 가지 회로 해석방법을 익히기 위해 한 문제를
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대