• 통큰쿠폰이벤트-통합
  • 통합검색(1,679)
  • 리포트(1,537)
  • 자기소개서(123)
  • 시험자료(6)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계및실험" 검색결과 261-280 / 1,679건

  • 7장 순차논리회로 설계 및 구현(1) 결과
    디지털공학실험 ? 7장, 순차논리회로 설계 및 구현(1) 결과 보고서◈ 실험 결과 및 검토가. ... 식을 구하고 그 식을 통해 D플립플롭으로 회로설계한 뒤, 그 회로를 브레드보드에 구성하여 결과값을 얻는 복잡하고 어려운 실험이었다. ... 그림 7-9의 회로설계하고 다음의 천이표를 완성하라.☞ 그림 7-9 상태도를 보고 왼쪽에 천이표를 그리고 아래에D _{A} 와`D _{B}에 대한 카노맵을 그린 후 식을 간략화
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 기계공학응용실험 보고서 'PLC실험'(A+) - 부산대학교 기계공학부
    실험 결과 (회로 설명)그림 4.1 래더 다이어그램그림 4.1의 래더 다이어그램은 다음과 같은 동작을 하도록 만든 논리 회로이다.푸쉬 버튼(녹)을 누를 시 컨베이어 벨트가 움직이기 ... 래더 다이어그램은 논리 AND연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.래더 다이어그램의 표현은 그림3.1과 같다.그림 3.1 래더 다이어그램의 표현래더 다이어그램을 ... 실험 내용본 실험에서는 PLC의 동작 이해를 위한 입출력점 간의 결선을 통한시스템 동작의 확인, 래드 다이어그램 작성과 실행을 통한 스테핑 모터의 제어 및 선형운동 모듈의 이송을 제어하는
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • 7주차 예비보고서- 디지털 시스템 설계실험
    디지털 시스템 설계실험 KEEE209 전기전자전파 공학부디지털 시스템 설계실험이름 :학번 :실험제목Sequential Circuit 설계 및 구현실험목표1. ... 다음 표에서 3 옥타브가 우리가 일반적으로 사용하는 옥타브이다.예를 들어 PIEZO에 3옥타브의 “도”음이 울리도록 논리 회로설계한다고 하였을 때, PIEZO에261.6256Hz의 ... Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 9주차-실험19 결과 - 카운터 회로
    동작원리를 이해한다.(2) 동기 계수기의 구조와 동작을 이해한다.(3) 임의의 mod 동기 계수기를 설계하는 방법을 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.실험 ... 2015년도 제2학기기초회로실험Ⅱ기초회로실험실험19. ... 만약 7번 이후의 실험을 했더라면, up/down-counter들과 감산 및 가산 계수에 대한 동작을 확인해 볼 수 있었을 것입니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.02
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트)예비보고서
    예비보고서(설계실습 7. 논리함수와 게이트)아날로그 및 디지털 회로 설계실습설계실습 7. 논리함수와 게이트7-1. ... 기생성분과 소자 영향을 고려한 값과는 다소 차이가 있을 것으로 예상한다.7-3-2 NAND 게이트 설계 및 특성 분석(A) Vcc를 5V (논리값 1)에서 0V (논리값 0)으로 ... 설계실습 계획서7-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR
    리포트 | 12페이지 | 1,000원 | 등록일 2020.09.24
  • 서울시립대 전전설2 결과레포트 2주차 A+
    OR, 턖, AND 소자를 이용해 반가산기와 전가산기를 combinational한 논리 회로를 만들어 본다.실험 이론디지털 논리 회로의 종류: combinational, se벼두샤미 ... 논리도도 첨부하였다.전가산기의 경우는 아래와 같다.실험 결과 및 분석측정 결과Combo box 동작 확인전원 on스위치스위치 on 출력 1스위치 off 출력 0OR gate(7432 ... Design with TTL Gates서론실험 목적TTL 게이트를 이용해 디지털 설계를 해 본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.22
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    BCD 코드 및 논리회로모든 컴퓨터는 내부적으로 이진법에 의해 동작하지만 사람은 이진수를 사용하지 않는다. ... 부가적으로, 비교 연산, 보수 연산, 시프트 연산 등도 수행한다.[1] 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다. ... 실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 9주차 예비보고서 - 디지털 시스템 설계실험
    디지털 시스템 설계실험 KEEE209 전기전자전파 공학부디지털 시스템 설계실험이름 :학번 :실험제목Simple Computer - Data Path실험목표1. ... DATAPATH를 설계 및 구현하고 검증한다.기본지식1. ... 시뮬레이션 한다.- Control Word 는 13bit 로 정의된다.이번 실험회로도 및 진리표이번 실험에서 작성하게 될 verilog 코드를 어떤식으로 작성하여야 할지 생각해
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서4
    이미 설계된 멀티플렉서와 디멀티플렉서 칩으로도 실험을 할 수 있을 뿐 아니라, 기초적인 논리게이트를 통해멀티플렉서와 디멀티플렉서와 같은 효과를 갖는 회로를 만들 수 있다.4. ... 정논리에서는 1이 켜지는 상태, 즉 '활성=active'라고 한다면 부논리회로에서는 0이 켜지는 상태가 되는 것이어서 active low가 된다.다른 한편으로, IC들의 설계 시, ... 회로를 '부논리'회로라고 해서 서로 상보적으로 구분한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 기초전자회로실험 예비보고서 - Boolean 대수
    AND는 곱, OR는 더하기, NOT은 ‘(prime)또는-(Bar)를 사용한다.Boolean 대수의 기본법칙실험회로 및 시뮬레이션 결과4.1 Boolean 대수측정문제 : AND, ... 조지 불(George boole)에 의해 고안되었으며, 논리적인 상관관계를 다루며, 0(거짓)과 1(참)의 2가지 값만을 처리하고 이는 논리회로의 상태와 서로 대응되는 성질을 가진다 ... 전기, 전자, 통신, 컴퓨터공학 기초전공실험, (2011년)예비보고서 기초전자회로실험1 실험일: 년 월 일
    리포트 | 4페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 실험 제목: R, L, C 수동소자와 TTL IC
    TTL IC칩은 내부 회로구성 설계 방식에 따라 Bipolar와 CMOS방식으로 제작되며 집적도, 전력소모 및 처리속도 등을 7400시리즈 중간에 영문을 넣어 표기한다.회로구성Bread ... 디지털멀티미터를 통해 측정된 전압과 전류 값이 이론적으로 계산한 값과 동일함을 확인한다.DC아날로그 회로: 임의로 설계회로에서 전원공급기와 서로 다른 값의 4개의 저항을 이용하여 ... 및 시뮬레이션결과유의사항실험과정에서 560W이상의 저항 값을 사용할 것을 권고함.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.01.23
  • 전기및디지털회로실험 실험7 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험실험 개요이론 조사실험 기기예비보고서 문제풀이실험 순서실험실험 7. 디코더와 인코더2. 실험 개요1. ... docId=1149956&cid=40942&categoryId=32372-BCD 코드조합논리회로설계절차를 다시 요약하면 다음과 같다. 다. ... 다이오드메트릭스게이트나 논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • [한양대 Erica 기초회로실험] Active Filter
    Active Band-Pass Filter(그림 3) 대역통과 필터의 보데 크기 그래프의 구성대역통과 필터로 동작하는 연산 증폭기 회로의 해석 및 설계는 그림 3의 보데 선도의 구성에서 ... 년도-학기년 학기과목명기초회로실험LAB번호실험 제목12Active Filter실험 일자년 월 일제출자 이름제출자 학번팀원 이름Chapter 1. ... 나란히 연결된 다른 부속 회로에 관계없이, 각 부속 회로를 독립적으로 설계할 수 있게 하는omega _{c1}과omega _{c2}의 관계를 만들어 내고자 한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.09.27
  • 시립대 전전설2 Velilog 결과리포트 2주차
    date목록실험 목적배경 이론실험 장비실험 결과결론 및 토의참고 문헌1. ... AND 게이트와 반가산기는 익숙한 논리회로라 무리 없이 만들 수 있었다. 하지만 프로그램이 오류없이 구동되는 데까지 시간이 많이 걸렸다. ... 실험 결과ANDANDSchematic회로도구동 상태확인PIN설정설정ABX핀 설정값P63P67P191실제 핀버튼 스위치1버튼 스위치2LED 1①②③④HalfAdder반가산기Schematic회로도구동
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    기초회로실험1제출:2015.03.304주차실험제목 : 논리 게이트 및 부울 함수의 구현실험(1) SN7408로 회로를 결선하고, 1)B=0, 2)B=1, 3)B=open 상태에 대하여 ... NOT, OR, AND 게이트, NAND, NOR, Exclusive-OR 게이트의 논리함수 개념과 Gate의 구조 및 기능에 대해 알아보고 측정하는 실험과 부울 함수를 이용한 논리회로의 ... 정 논리로 구성한 논리합, 논리회로는 부논리에서 사용하면 각각 논리곱, 논리합의 회로가 된다.(3) 애서 B=open 상태는 어떤 입력을 가한 것과 동일한가?
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • 8주차 예비보고서- 디지털 시스템 설계실험
    디지털 시스템 설계실험 KEEE209 전기전자전파 공학부디지털 시스템 설계실험이름 :학번 :실험제목RAM(Random Access Memory)실험목표1. 16×4RAM( ... 논리. 조건 연산식을 사용할 수 있습니다.실험방법플립플롭을 여러 개 사용하면, 레지스터를 만들 수 있고, 또 레지스터를 여러 개 사용하면 메모리를 만들 수 있다. ... Random Access Memory)를 설계한다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 연세대학교 공과대학 컴퓨터과학과 편입학 학업계획서
    , PC설계, 디지털논리회로연습, 운영체제, 컴퓨터아키텍쳐 등의 전공과목을 수강한 바 있습니다. ... 저는 컴퓨터프로그래밍, IT기술개론, 공학설계1,2, 공학수학1,2, 공학화학및실험1,2, 공학물리학및실험1,2, 공학생물학및실험1,2, 객체지향프로그래밍, 확률통계, 자료구조, 컴퓨터시스템 ... 이뿐만 아니라 정보공학, 정보관리, 정보처리, 컴퓨터교육, 컴퓨터통계, 논리회로설계, 소프트웨어공학, 반도체공학, 다소 컴퓨터와 거리가 멀게 느껴지는 재료공학에도 관심을 가지고 있습니다
    자기소개서 | 2페이지 | 3,800원 | 등록일 2021.01.18
  • 건국대학교 전기전자기초실험1 14주차 예비보고서 A+
    사전 조사 항목 참고 자료디지털 논리회로, 생능출판, 김종현, Chapter 7. ... 순차회로의 분석과 설계 376p~380p건국대학교 전력전자연구실PAGE \* MERGEFORMAT4 ... 모의실험(1) 모의실험 1 – SR 플립플롭 모의실험LTspice를 이용하여 다음의 SR 플립플롭 회로를 구성하시오.NAND 게이트는 AND 게이트와 NOT 게이트로 구성하시오.NOT
    리포트 | 9페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 서강대학교 디지털논리회로실험 레포트 6주차
    이는 우리의 실험 값과 동일하며, JK flipflop으로서 잘 작동했다고 볼 수 있을 것이다.STEP15)익혀보자.Simulation은 회로설계과정에서 대상 회로가 의도한대로 ... time의 정의를 파악하고 이 parameter가 순차 논리회로 설계에 어떻게 영향을 미치는지 알아보자.Hold time은 출력값을 얻기 위해 C가 인가된 후 유지되어야 하는 최소 ... 이론1) sequential 회로 : 순차 논리 회로(sequential)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 말한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • KLA텐코코리아 2020상반기 최종합격 자소서
    (최대 800자)전자회로설계 팀 프로젝트에서 논리적 접근으로 소자의 개수를 줄여 원하는 결과를 도출한 경험이 있습니다. ... 또한, 공정과정에서 실제로 발생할 수 있는 이슈에 대해 더 알고자 집적회로공정실험을 수강했습니다. ... Pspice로 회로설계하고 필터를 이용하여 입력 신호를 100배 증폭시키고 60Hz와 60kHz의 잡음을 제거하여 출력으로 내보내는 과제를 부여받았습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.01.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대