• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,233)
  • 리포트(2,078)
  • 자기소개서(135)
  • 시험자료(7)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 221-240 / 2,233건

  • 전전설2 실험 1 예비보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2. ... [응용과제]XOR gate 2개, OR gate 1개, AND gate 2개가 필요하므로, 7432 1개, 7486 2개, 7408 2개를 준비한다.회로를 위와 같은 방법으로 설계하면
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • 비동기 카운터, 동기 카운터 설계 예비레포트
    비동기 카운터, 동기 카운터 설계예비레포트1. 실험 제목1) 비동기 카운터2) 동기 카운터 설계2. ... 만일 돌아오지 않는다면 설계를 변경하여 돌아오도록 하라. 회로를 구성하고 검사하라. 오실로스코프나 논리 분석기를 가지고 상태 시퀀스를 검사어해설 ... 실험실에 디지털 논리 분석기(digital logic analyzer)loscope)를 포함하기도한다2) 동기 카운터 설계동기 카운터는 클록 펄스에 모든 플립플롭이 동시에 동작한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.10.09
  • 실험1. 논리 게이트 예비보고서
    덧붙여서 일반적인 논리 회로 설계를 하는 기술자는 수학의 논리 연산 기호와 다른 기호를 사용하여 논리식을 기술하는 경우가 많다.2.2 조합회로1. AND. ... AND, OR, NOT의 기본 부울 대수를 수행하며, 이 기본 부울 대수들의 결합으로 복합적인 논리 기능을 수행한다. 논리 회로설계논리식이나 진리표가 사용된다. ... ▣ 실험1. 논리 게이트1. 실험 목적본 실험을 통해■ 논리 게이트의 동작 방법을 알아본다.■ TTL 논리 게이트의 문턱 전압에 대해 알아본다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.05.26
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 예비 리포트
    이런 전가산기에 대해 학습하고 불리언식을 알아본 후 회로설계하고 간소화한 회로설계하고 이를 이용해 2bit 가산기회로설계하는 실험을 했다.실험결과:전가산기 설계전가산기에 ... 설계실습 9. 부울대수 및 조합논리회로요약: 이번 보고서를 통해 부울대수 및 조합논리회로를 학습했다. ... 연결해주는 것이다.위의 회로들을 이용하여 2Bit 가산기 회로설계하면결론: 이번 보고서를 통해 부울대수 및 조합논리회로를 학습했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • 2023상반기 현대자동차 R&D 합격 자소서
    이후 제어시스템 설계, 머신러닝 과목을 이해하는 기반을 다졌습니다.5) 논리회로 A+ : 플리플랍, 카운터 등을 설계하며 논리소자에 대한 기초를 학습했습니다. ... 발생하는 에러들을 word파일로 정리하여 동일 에러 발생 시 빠르게 해결 했습니다.2) 디지털 회로실험 A0 : 한 학기동안 FPGA구조를 설계했습니다. ... 컴파일 에러 발생 시, 시뮬레이션 결과를 바탕으로 원인을 파악하는 역량을 길렀습니다.3) 아날로그 회로실험 A+ : 브레드보드와 오실로스코프 등을 이용해 R, L, C회로와 증폭기를
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.07.12
  • 아날로그및디지털회로설계실습 논리함수와게이트
    아날로그 및 디지털회로 설계실습예비 REPORT7. ... 논리함수와 게이트분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.1. ... (decoder): n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 논리 회로.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • [아날로그 및 디지털 회로 설계실습] 예비보고서7
    (B) AND게이트와 OR게이트 각각의 입출력 시간 딜레이를 측정할 수 있는 방법에 대해 조사하고, 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험 방법을 설계한다.논리회로 gate에는 ... 목적여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.2. ... 및 특성 분석(A) Vcc를 5 V(논리값1)에서 0 V(논리값0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계방법을 생각하고, 그 단계적 방법을
    리포트 | 10페이지 | 1,500원 | 등록일 2022.09.14
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... source 구상 및 검색, 계획 보고서 제출2주차 : 8-bit ALU - VHDL로 코딩 및 Xilinx FPGA tool VIVADO로 임시 시뮬레이션 → [FPGA 이용 방법은 기초회로실험_실험 ... 하는 언어로 초보자도 쉽게 회로 설계를 할수 있는 IEEE 표준언어
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 디지털 회로 실험-논리함수의 간략화
    디지털 회로실험실험4. 논리함수의 간략화1. 목적-드모르간의 정리를 실험적으로 증명한다.-카노프맵에 의한 논리함수의 간략화를 익힌다.2. ... 디지털 논리 회로를 간소화하기 위한 목적은 함수의 최적화, 경제적인 설계, 동작속도를 빠르게, 설계시간의 단축 이다.(1)진리표를 이용하여 카노프 맵을 작성하는 법과 일반적인 규칙1 ... 부울 대수를 통해서 디지털 논리회로를 간소화할 수 있다는 것과 논리식이 간소화되면 설계에 소요될 부품의 수를 줄일 수 있다는 사실을 앞 절에서 살펴보았다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 결과레포트 [참고용]
    실험 명부울대수와 논리조합2. 실험 개요부울대수의 기본 공리와 정리를 이해하고 논리회로로 표현하여 간단화하는 방법을 익힌다. ... 참고문헌-디지털논리와 컴퓨터설계 제5판, 주요 이론 참고-https://cms3.koreatech.ac.kr/sites/yjjang/down/digi09/ch04.pdf,한국기술교육대학교 ... 고찰본 실험을 통해 확인 할 수 있는 것은 회로를 부울대수로 나타내고 부울대수조작을 통해 간단화 한 후 다시 회로로 나타내어 논리회로를 간단화 할 수 있다는 것이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.01
  • 7. 논리함수와 게이트 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    그 중 가장 기초인 각각 논리 게이트들의 연산 결과를 실험을 통해 확인해보며 후에 이러한 논리 게이트들로 이루어진 회로에서 각 게이트들의 역할을 알고 있으므로 전체 회로의 결과를 이해할 ... 아날로그 및 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.10.28(목)분반, 조**분반, *조학번2* ... 해도 실제로는 시간 축에서 서로 다른 펄스로 인식되어야 하는 경우가 생긴다.따라서 논리회로 설계 시에는 전파 지연 시간을 정확하게 확인할 필요가 있다.AND 게이트와 OR 게이트의
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.02 | 수정일 2023.01.03
  • 7. 논리함수와 게이트 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 7 결과보고서-논리함수와 게이트학과 :담당 교수님 :제출일 :조 :학번 / 이름 :7-4. ... 설계실습계획서에서 설계회로와 실제 구현한 회로의 차이점을 비교하고 이에 대한 이유를 서술한다. 설계실습이 잘되었다고 생각하는가? ... 설계실습 내용 및 분석7-4-1 설계논리게이트 구현 및 동작(A) Low(0) 값, High(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.07
  • 부산대학교 어드벤쳐디자인 7장 결과보고서
    또한 논리회로를 간략화하는 방법을 이해하고, AND, OR, NOT, XOR, NAND, NOR, NXOR 게이트를 사용하여 간략화된 다단 논리회로설계 방법을 익힌다.2. ... 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 대해 알아본다. ... 실험 이론게이트는 그 출력이 오직 현재의 입력 조합에 의존하는 조합회로이다. 진리표는 그 동작을 표현하는데 사용된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    동기 카운터 설계를 할 때에는 간단한 up카운터 일지라도 진리표를 그리고 카르노 맵으로 논리를 간소화한 뒤 회로를 구성해야 했다. ... FPGA Board를 이용한 FSM회로의 구현 (up-counter)결과레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 그에 비해 Verilog HDL과 FPGA를 이용해 카운터를 설계할 때는 count = count + 1; 과 같이 간단한 코드로 논리를 만들 수 있어서 간편했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 디지털 회로 실험설계 - JK Flip Flop, D, T Flip Flop 실험 1
    디지털회로실험설계 예비 보고서 #3( JK Flip-Flop 실험, D, T Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 순서 논리회로는 출력을 입력 쪽에 연결한 궤환(Feedback) 회로를 가지고 있으며, 이를 통해 출력이 논리 동작에 영향을 미친다. ... 실험목표① D 플립플롭의 회로 구성과 동작을 실험한다.② JK 플립플롭의 회로 구성과 동작을 실험한다.③ T 플립플롭의 회로 구성과 동작을 실험한다.2. 관련이론?
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 7. 논리함수와 게이트
    논리함수와 게이트실습목적여러 종류이 게이트의 기능을 측정하여 실험적으로 이해한다.설계실습계획서2-1 XNOR 게이트 설계 및 특성 분석AND, OR, NOT 게이트를 사용하여 NAND ... 이 주파수 차이를 이용하여 딜레이를 측정할 수 있다.2-2 NAND 게이트 설계 및 특성 분석Vcc를 5V (논리값 1)에서 0V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 ... , NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 케이트로 XNOR의 회로도를 설계한다.AND
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 ... 서론순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. 실험결과1-3. ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • 디지털 회로 실험설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험설계 예비 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 묶는 방법에 따른 차이를 실험으로 확인한다.⑤ RS 플립플롭의 회로 구성과 동작을 실험한다.2. ... 이와 같은 특성을 이용하여 플립플롭은 메모리로도 많이 활용된다.- 플립플롭은 대표적인 순서 논리회로이다.- 순서 논리회로는 출력을 입력 쪽에 연결한 궤환 회로를 가지고 있으며, 이를
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 실습 10. 7-segment_Decoder 회로 설계
    아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜 ... 먼저 Decoder에 4비트 입력이 주어졌을 때 적절한 조합논리회로를 거쳐 출력값들을 제공한다. ... 결론이번 실험의 목적은 7-segment의 type을 구분하고, Decoder를 이용한 구동회로설계함으로써 그 동작을 이해하는 것이 목적이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 시립대_전전설2_Velilog_예비리포트_1주치
    실험 목적- 각각의 TTL을 이용하여 논리 회로설계실험 해본다.2. ... TTL gates Lab on BreadboardMajor전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험 ... 합을 출력하는 가산기 논리 회로와 달리 반가산기 논리 회로는두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로이다.(1) 반가산기 논리표ABSC
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대