• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,233)
  • 리포트(2,078)
  • 자기소개서(135)
  • 시험자료(7)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 241-260 / 2,233건

  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    관련이론1) FPGAFPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... Verilog 언어를 이용한 Sequential Logic 설계예비레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 (
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    A가 0, B가 1일 때 F는 1이다.실험4먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.여기서 3:8디코더와 OR게이트가 필요하다. ... A,B,C가 0일 때 F는 1이다.A,B가 0이고 C가 1일 떄 F는 1이다.실험2먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.여기서 8:1 MUX와 NOT게이트가 ... A는 0이고 B,C는 1일 때 F는 1이다.A,B는 0이고 C는 1일 때 F는 1이다.실험5먼저 회로를 구성하기 위해 설계논리회로 설계는 다음과 같다.OR게이트가 필요하다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    조합논리 회로의 예(7-segmemt/Decoder 회로 설계)요약: 이번 보고서에서는 조합논리회로를 학습했다. 7-segment/Decoder 진리표를 완성했으며 진리표를 통해 Karnaugh과 ... 이번 보고서에서는 7-segment/Decoder의 진리표를 학습하고 불리언 식을 구해 7-segment/Decoder회로설계하는 실험을 했다.실험결과:7-segment/Decoder ... 설계Decoder와 7-segment를 이용한 7-segment 구동 회로설계한다.결론: 이번 보고서에서는 7-segment/Decoder를 통해 조합논리회로를 학습했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • 전기회로설계실습 실습4 결과보고서
    이에, 실험 과정에서 설계회로를 다시 한 번 검토해보았다. ... 이에, 측정값을 통해 추론함으로써 실험을 했을 때 교재에 주어진 회로와 다른 회로를 사용하여 실험을 하고 있었던 사실을 확인할 수 있었다.잘못 설계회로는 아래와 같다.위 상황에서 ... 회로설계를 잘못했던 이유는 실험했어야 하는 회로에서는 전압원이 들어가는 곳과 나가는 곳이 다른데, 이를 고려 안 한 채 문제의 물음인R _{L}의 전압을 구하는 데만 급급해서 그랬던
    리포트 | 4페이지 | 1,000원 | 등록일 2024.08.16
  • 전전설2 실험2 예비보고서
    것이고, 단순한 논리회로설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에 ... 한것을 보아 한 개의 칩에 200개의 논리 게이트를 구현할 수 있을 것 같다.[2-4] 본 실험 장비인 HBE Combo-II SE 는 FPGA 칩의 동작을 실험 할 수 있는 여러 ... 실험 목적Design Tool을 사용하여 Digital logic의 Schematic 설계를 수행해 본다.Schematic 설계는 ISE가 제공하는 여러 가지 종류의 logic gate
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 부산대 어드벤처디자인 결과보고서 7주차 A+보고서 1등보고서
    AND, OR, NOT, XOR, NAND, NOR, NXOR 게이트를 사용하여 간략화 된 다단 논리회로설계방법을 배운다.2. ... 어드벤처 디자인결과보고서 7실험 제목 : 기본 논리회로 소자의 이해, 논리회로의 축약실습1. ... 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 대해 배우고 논리회로를 간략화 하는 방법을 이해한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    관련 이론-FPGAFPGA(field programmable gate array)란 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍을 할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 디지털 논리회로 9장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 9페이지 | 3,000원 | 등록일 2021.06.04
  • 서울시립대 전전설2 Lab-01 결과리포트 (2020 최신)
    실험목적디지털 설계의 장점과 TTL gate의 특성을 이해하고 OR gate, XOR gate, 가산기 등 여러 논리회로를 디자인한 후 실제로 설계하여 실험능력을 함양한다.2. ... 디지털 설계가 집적효율적이라는 것을 실험을 통해 다시 확인한 것이다. ... 전자전기컴퓨터설계실험Ⅱ결과리포트Lab-01 Design with TTL gates작성일: 20.09.131.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 전전설2 실험1 결과보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2. ... LED 동작 전압)/LED전류 = 3/0.01 = 300Ω[2-4] 1-bit 반가산기와 전가산기에 대하여 논리 회로도 및 동작을 조사하시오.두 개의 입력 비트(A, B)를 더하여
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • 울산대학교 디지털실험예비24 디지털 조합 논리회로와 순서 논리회로
    디지털회로 24. 디지털 조합 논리회로와 순서 논리회로학번 : 이름 :1. ... 따라서 회로설계가 동기식 순서회로처럼 간단하지 않다. ... 그리고 조합회로는 Multiplexer를 이용하여 모두 구현할 수 있음을 이론에서 다루었다.끝으로 실험에서 디지털 입력은 스위치를 이용하여 논리 0과 1을 제공하는데 이들은 기준전압과
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.20
  • 실험2. 가산기 예비보고서
    기초이론2.1 가산기가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... 실험방법 및 순서5.1 예비보고에서 설계한대로 7400계열의 NAND 게이트들을 연결하여 반가산기를 구현하라. ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로설계되어서 다양한 기능을 가지는 것이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    KCL, KVL 현상 확인 (회로 내 모든 저항은 330Ω으로 통일)구성한 회로 만능기판 구성 회로V1 노드 전압 V2 노드 전압V3 노드 전압 저항 측정방법실험설계 내용: 만능기판에 ... 그 이후 버튼과 논리회로를 직접 연결하지 않고, 아두이노를 통해 스위칭 입력을 받은 후 논리게이트에 스위칭 정보를 전달해준다. ... 그 후 논리게이트 출렵값을 디지털 입력으로 받아서 시리얼 모니터로 측정하는 방식으로 실험을 진행한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로설계하는 여러 가지 방법론을 학습한다. ... 단점: ① 현재의 논리 합성은 단상 동기 회로용이다.② 툴류가 비싸다.③ ASIC으로는 논리 합성할 수 없는 회로도 있다.b. ... 참고문헌1) 서울시립대학교 전자전기컴퓨터설계실험2 실험 교안2) M. Morris Mano, Michael.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [디지털공학 실험] 멀티플렉서를 이용한 조합논리
    실험 제목 [논리회로의 간소화]2. ... 실험 제목 [멀티플렉서를 이용한 조합논리]2. ... 이러한 문제를 해결하기 위해 출력을 반전시켜 X가 LOW 논리 레벨로 LED를 켜도록 사용된다. 그림 8-5의 회로실험순서 3에서 나온 표현식을 구현한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.06.20
  • 한양대 디지털 IC 개요 및 조합논리회로
    관련 이론조합논리회로는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 뜻한다. 이와 반대로, 순차논리회로는 현재 입력 뿐만 아니라 이전 입력에도 영향을 받는다. ... 작성한 K-Map을 통해 Logic Circuit을 AND, OR, NAND 등 GATE를 그린다.Logic Circuit을 통해 회로에 직접 설계해보며 입력 데이터를 각각 다르게 ... 실험 목적Truth Table을 통해 Karnaugh Map (K-map)을 작성해본다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 아날로그 및 디지털회로 설계 실습 실습8_래치와 플립플롭_결과보고서
    1.요약이번 실습에서는 논리 gate(nand, inverter)를 사용해 래치와 플립플롭의 회로설계하였다. ... RS래치의 구현 및 동작 확인실험에서 구성한 RS래치의 회로도는 다음과 같다.게이트에 전원을 인가하고 입력이 모두 0(0V)인 상태에서의 출력은 다음과 같다.모든 입력을 1(5V)로 ... 할 수 있다3.실험결과1.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.08.27
  • 논리회로실험 비교기와 MUX, ALU
    논리회로설계 실험 예비보고서 #5실험 5. 비교기와 MUX, ALU1. ... 실험 목표출력이 입력에 의해서만 정해지는 조합논리회로인 비교기, MUX, DEMUX, ALU의 개념과 특성에 대해 알아보고, 조합논리회로의 한 예로 주어진 ALU의 진리표를 토대로 ... 실험 내용- 실험 1. 8가지 기능을 가진 ALU를 설계하시오.1) 논리기호와 진리표S2S1S0논리식기능000Y = AA의 전송001Y = A+B가산010Y = A-B감산011Y =
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 홍익대 디지털논리실험설계 5주차 예비보고서 A+
    디지털 논리실험설계 5주차 예비보고서1. 실험 준비1.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... [그림 2]의 회로가 전가산기로 동작하는 원리를 설명하시오.가산기는 이진수의 덧셈 연산을 수행하는 논리회로이다. ... , (2)의 회로를 구현하시오.전가산기 두 개를 직렬로 연결하면 두 자리 이진수의 덧셈 연산을 할 수 있는 논리회로가 구현될 것이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • [예비보고서] 7.논리함수와 게이트
    시간 딜레이를 측정할 수 있는 방법에 대해 조사하고, 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험 방법을 설계한다. ... 논리연산을 이용하여 생각하면 출력 X = AB+A’B’이며, 진리표와 게이트를 설계회로도는 다음과 같다.ABX001100010111(B) AND 게이트와 OR 게이트 각각의 입출력 ... 예비 보고서설계실습 7. 논리함수와 게이트7-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:12 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대