• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,223)
  • 리포트(2,073)
  • 자기소개서(129)
  • 시험자료(8)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 161-180 / 2,223건

  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로설계함으로써 순차논리회로설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 아날로그 및 디지털회로설계실습/ 7. 논리함수와게이트 / 결과보고서 / 성적인증포함 / 해당학기 전체 성적인증포함
    요약 기본적인 논리게이트인 AND, OR, NOT 게이트를 활용하여 NAND, NOR, XOR 게이트를 구현하고 기능을 측정하는 실험을 했다. ... 서론 설계실습계획에서, NAND, NOR, XOR 게이트를 |AND OR NOT} set으로 구현할 수 있음을 등가 회로 구성을 통해 확인하였다. ... 계획서에서 설계한 NAND, NOR 그리고 XOR게이트의 등가회로를 3종류의 IC chip을 이용하여 구성하였으며 전압 측정을 통해 각각의 동작 특성을 확인하였으며 High(1)출력
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 상태에 따라 이진수 000에서 111까지, 그리고 다시 000으로 초기화되는 모습을 확인했다. 16진 동기 카운터의 경우, AND 게이트두개를 추가하여 설계하였으며, 공통 CLK에
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    기초회로실험1제출:2015.03.304주차실험제목 : 논리 게이트 및 부울 함수의 구현실험(1) SN7408로 회로를 결선하고, 1)B=0, 2)B=1, 3)B=open 상태에 대하여 ... 정 논리로 구성한 논리합, 논리회로는 부논리에서 사용하면 각각 논리곱, 논리합의 회로가 된다.(3) 애서 B=open 상태는 어떤 입력을 가한 것과 동일한가? ... 기술하라.⇒ 정 논리는 디지털 회로에서 표현되는 0과 1을 0을 저전압(0V에 가까운 전압), 1을 고전압(이번 실험에서는 5V)으로 표현하는 논리 형식이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • 디지털 실험 5장(논리회로 간소화) 결과보고서
    실험목적- BCD – 부당한 코드 탐지기의 진리표를 나타낸다.- 논리식을 간략화하기 위해서 카노맵을 이용한다.- 간략화된 논리식을 실행하는 회로설계하고 실험한다.2. ... 실험 5장 논리회로 간소화1. ... RTL 집적 회로회로가 생산된 1961년 부터 설계되어 1966년에 처음 가동된 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.17
  • 논리회로설계 FSM 설계
    논리회로설계 실험 예비보고서 #8실험 8. FSM 설계1. ... 컴퓨터 프로그램과 전자 논리 회로설계하는데 쓰이는 수학적 모델이며 간단히 상태 기계라고 부르기도 한다. ... 실험 목표순차회로의 응용회로인 FSM의 종류와 디지털 시스템에서 생기는 오류들인 glitch, chattering과 해결법인 debouncing에 대해 학습하여 동작원리와 각각의 특성을
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로설계한다.이론2 ... TC와 CEO는 이 회로에서는 필요 없다.
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    디지털논리회로실험 6주차 실험 보고서목적- Flip-flop의 종류를 파악하고 각각의 동작원리를 이해한다. ... 따라서 sequential circuit을 설계하고 작동시킬 때, propagation delay를 반드시 고려해야 할 것이다.3)D latch에서 setup time이란, CLK가 ... 포함하고 있다면, setup, hold time을 지키지 않았을 경우에 출력을 예측할 수 없게 될 것이고, 원하는 대로 동작하지 못할 것이다.따라서 sequential circuit을 설계하고
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    디지털논리회로실험 프로젝트 보고서 – 가위바위보 게임(3단계)1. ... 우선 아래는 state machine을 설계하기 위해 그린 state diagram이다.가위바위보 게임의 동작은 4가지로 나눌 수 있는데, IDLE, up_rolling, flash ... 동작 결과 및 설명전체적인 동작을 확인해보자.일단 전체적인 회로 구성은 위 사진과 같다. Idle 상태이기에, 4-digit display는 ‘--
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    반가산기와 전가산기의 설계를 통해 조합논리회로설계방법을 공부한다.다. 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라. ... 디지털공학실험 ? 6장, 가산기와 ALU 조합논리회로 응용 예비보고서1. 실험목적가. 반가산기와 전가산기의 원리를 이해한다.나. ... 동작74181은 산술 및 논리연산기능을 하나의 칩 안에서 동작되도록 만들어진 집적회로이다.모드선택 단자 M이 H일 경우 논리연산을 수행하며, L일 경우 산술연산을 수행하게 된다.연산은
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • [A+, 에리카] 2021-1학기 논리설계실험 Verilog HDL 2 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 Full adder와 D 플립플롭을 설계해본다.Chapter 2. ... 구현 등의 용도로 사용가능하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작을 ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증,
    리포트 | 6페이지 | 2,500원 | 등록일 2023.02.28
  • 비교기, 보수기, 패리티 검사기 설계
    전등이 on 되었을 때 출력을 High, off 되었을 때 출력을 Low라고 할 때 이 조건을 만족시키는 논리 회로도를 설계하시오.위의 그림처럼 나온다.② 선택형 XOR/XNOR Gate ... 실험 목적XOR Gate의 사용법과 기본논리 동작을 이해하고 실험을 통해 동작 특성(진리표)을 확인한다.XOR Gate를 이용하여 비교기, 보수기, 패리티 검사기를 구성할 수 있다.실험 ... 검토① 실험 결과를 토대로 회로의 동작을 설명하시오.RARROW 짝수 패리티의 종류는 even, odd가 있는데 이번 실험에서 사용한 패리티는 even 패리티이다.even 패리티는
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,Decoder & 7-segments 실험
    실험 목적74LS47 소자를 이용하여 7-segment 시스템을 표현할 수 있다.Chapter 2. 관련 이론1. ... 인코더를 회로에서 사용되는 이유는 인코더는 어떤 정보를 암호화 시키는 역할을 한다. ... 인코더는  개의 입력 중에서 하나 고르게 되면 이에 대한 n개의 출력으로 2진수의 정보가 출력되는 회로이다.
    리포트 | 7페이지 | 2,500원 | 등록일 2024.05.21
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,MUX & DEMUX
    Multiplexer(MUX)먹스는 여러 개의 회로에서 입력된 신호 중에서 어느 한 입력신호를 선택해 출력회로를 전달해주는 기능을 수행하는 데이터 선택 논리회로이다. ... 실험 목적MUX와 DEMUX의 원리를 확인 할 수 있다. Chapter 2. 관련 이론1.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,Latches & Flip-Flops
    실험 목적반도체 소자를 통해서 Latches를 포함한 Flip Flop들의 정의에 대해서 알 수 있다. Chapter 2. 관련 이론1. ... 래치는 Flip Flop안에서 가장 빈번히 사용하지만, 순차회로를 직접 구현하기 위한 복잡한 클로킹 방식에 사용되기도 한다. ... Latches를 설명할 것이고 SR Latches, D Latches에 대해서 알 수 있다.1-1) SR Latches을 보면 SR래치는 엇갈린 쌍으로 된 NOR게이트로 만들어진 회로이다
    리포트 | 11페이지 | 2,500원 | 등록일 2024.05.21
  • 고등학교 물리학 과목별 세부능력 및 특기 사항(과세특) 예시
    실험을 통해 학습한 내용을 토대로 논리적으로 결론을 도출한 후, 자신감 있고 조리 있게 발표함. ... 실험을 통해 학습한 내용을 토대로 논리적으로 결론을 도출한 후, 모둠 구성원을 대표하여 자신감 있고 조리 있게 발표함. ... 직선 도선 전류 주위의 자기장 측정 실험에서 나침반의 회전 각도를 레이저 포인터를 이용하여 보다 정확하게 측정하는 방법을 고안하는 방식으로 실험 설계에서 창의력을 발휘하였으며, 전류에
    서식 | 3페이지 | 2,000원 | 등록일 2024.07.05
  • 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    논리 회로를 직접 구현한다.Ⅱ. ... 회로 설계자는 이러한 특징을 통해 자신이 설계하고자 하는 회로를 제작할 수 있다.그림 1 브레드 보드2. ... 디지털 논리 설계프로젝트 3 레포트Ⅰ. 목표불대수와 진리표, 카노맵 등을 이용하여 주어진 문제를 간소화하고 이를 브레드 보드를 이용하여 구현한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 결과보고서
    수치를 포함하여 요약한다.이번 9차 실험실습에서는 입력 조합에 따라 출력이 결정되는 조합 논리 회로설계하는 방법을 익히고, 조합 논리 회로의 가산기 회로 중 전가산기 회로설계하였다 ... 아날로그 및 디지털 회로 설계 실습결과보고서설계실습 9. 4-bit Adder 회로 설계소속중앙대학교 창의ICT공과대학 전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.234 ... 출력 단자의 LED는 명확하게 나와 논리의 오류는 정확하게 판단할 수 있었다.아래의 , 의 회로는 예비보고서에서 설계회로이다. S의 2-level 회로.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    실험목적① 프로그래머블 반 가/감산기를 설계하고 실험을 통해 논리 동작을 확인해 보고 이해한다.② 프로그래머블 전 가/감산기를 설계하고 실험을 통해 논리 동작을 확인해 보고 이해한다 ... 실험내용 및 방법① 프로그래머를 반 가/감산기의 회로도를 설계하고 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.② 프로그래머를 반 가/감산기의 회로도를 설계하고 ... 디지털시스템설계 실험 보고서가/감산기 회로1.
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • 전기및디지털회로실험 실험6 결과보고서
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서13실험 고찰14실험실험 6. 논리조합회로설계2. ... 통해 조합논리회로설계절차를 익힐 수 있었다. ... 반가산기와 전가산기의 기본동작을 이해하고 이를 실제 회로설계에 적용함으로서 논리회로를 다루는 능력을 키운다.3.
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.12
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:15 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기