• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,223)
  • 리포트(2,073)
  • 자기소개서(129)
  • 시험자료(8)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 101-120 / 2,223건

  • 논리회로설계실험_비교기
    (a, b)beginif a=b theneq ... 위의 그림은 설계를 수정하여 출력 파형을 확인한 것이다.2)테스트 벤치 코드library ieee;Use IEEE.STD_logic_1164.all;entity one_bit_comparator_tb
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.10
  • [논리회로설계실험]반가산기와 전가산기 설계(Half Adder and Full Adder 설계 보고서)
    실험에서도 굉장히 유용하게 이용했다. ... 이번 실험에서도 물론 많은 실수를 저질렀고 그 실수를 미처 알지 못한 채로 실험을 종료해 결과파형에 오류가 그대로 드러났지만 저장해둔 결과파형을 토대로 작성한 코드를 검토를 하다 보니 ... 위의 사항들을 주의하면 코딩에서 실수를 줄일 수 있다는 것을 이번 실험을 통해서 알 수 있었다.
    리포트 | 10페이지 | 1,500원 | 등록일 2015.07.06
  • 02 논리회로설계실험 결과보고서(전,반가산기)
    논리회로설계 실험 결과보고서 #2실험 2. 반가산기와 전가산기 설계1. ... 구조적 모델링 방식은 이미 설계된 두 두 논리 회로를 하나로 합쳐 설계하는 방법이다. ... 고찰VHDL을 이용하여 반가산기와 전가산기를 설계하는 실습을 진행하였다. 이 전까지는 논리회로설계하는 방법은 자료구조 모델링과 동작적 모델링 2가지만 존재하는 줄 알았다.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로 설계 실험 계산기 설계
    계산기 설계1. ... Introduction1)LCD를 이용하여 계산기를 설계 할 수 있다.2)LCD출력 특성에 대해 알 수 있다.3)FPGA 보드에 있는 다양한 스위치들을 다뤄 볼 수 있다.4)여러 개의 ... STD_LOGIC;operand : in STD_LOGIC_VECTOR (3 downto 0));end data_gen;표 data_gen entityㄱ)실습해야할 내용☞ 4비트 덧셈/뺄셈기 설계
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • 논리회로 설계실험 가산기
    Introduction1) 비트의 덧셈, 뺄셈과 관련하여 반가산기, 전가산기, 보수(complement) 이론 등을 확실히 이해한다.2) 조합논리회로의 기본이 되는 4비트 감가산기의 ... 4-bit 가산기 설계1.
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity motor2_rot isport (CLK_4M : In s..
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 논리회로설계실험_다양한 가산기
    이는 s ... 이는 반가산기의 내부구성이 다음 그림과 같이 표현되기 때문이다.다음으로 동작적 모델링 설계에서 process의 구성을 여러 가지 방법으로 할 수 있다. ... and y=`1` thenc
    리포트 | 13페이지 | 1,000원 | 등록일 2010.04.10
  • 논리회로) 자판기를 제어하는 조합 논리회로설계 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 자판기를 제어하는 조합 논리회로설계2. 실험 목적 : 조합 논리회로를 이용해서 음료수 자판기를 직접 설계한다.3. ... 실험 내용 600원 짜리와 700원 짜리 음료수를 판매하는 자판기이다. 이 자판기는 100원 짜리 동전 7개와 500원 짜리 동전 1개를 각각 입력 받을 수 있다.
    리포트 | 1페이지 | 1,500원 | 등록일 2013.06.09
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 6담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 151. ... Design(1)어떠한 회로설계할 것인가 1)1)Latch vs. ... IntroductionVDHL의 순차회로 설계에서 Latch vs. Flip-Flop(FF), DFF, Synchronous reset vs.
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로설계실험_4조_실험일(080603)_보고서
    HDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity seven_seg is ..
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080416)_보고서
    Decoder (Data flow)HDL Codelibrary ieee; use ieee.std_logic_1164.all; entity decoder_data is port(X : in std_logic_vector(2 downto 0);..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080506)_보고서
    CounterHDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is Port ( clk : in S..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080401)_보고서
    Half - adderlibrary IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(x,y:in std_logic; s,c:out std_logic); ..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 아주대 논리회로실험 설계 8by8 multiplier 결과보고서
    논리회로실험 결과보고서논리회로 실험 설계1. ... 이러한 상황을 피하기 위해 IEEE 대분분 설계자들의 필요를 만족하는 9개의 값 논리 시스템과 한께 1164 표준 논리 패키지를 개발하였다. ... 실험 진행상황 요약 설명1) 1주차- 설계 주제 소개- 평가 방법 소개여러 알고리즘을 조사하여 각각의 알고리즘을 분석하여 곱셈기를 설계하고 구현하는 것을 최우선으로 평가한다.부스 알고리즘을
    리포트 | 18페이지 | 1,000원 | 등록일 2013.11.29
  • 논리회로 설계실험 농구전광판
    BASKETBALL_DATA모듈을 설계하는 것은 단순히 데이터를 조작하는 것이므로 그다지 어렵지 않았다. 하지만 문제가 되는 것은 스위치를 눌렀을 때였다. ... 채터링전자 회로 내의 스위치나 계전기의 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상. ... 이는 회로에 나쁜 영향을 끼치므로 제거해야 한다.다시 말해서 스위치를 누르고 땔 때 스위치가 바로 떨어지는 것이 아니라 순간 적으로 여러 번 붙었다 떨어 졌다 하는 것이 채터링이다.우리는
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • 논리회로 설계실험 shift register
    Shift register 설계1. ... 동작하는 회로이다. ... Introduction1)Flip-Flop에 대해 이해한다.2)VHDL 언어를 통해 shift register를 설계 할 수 있다.3)shift register에 쓰이는 DFF를 이해한다
    리포트 | 9페이지 | 1,000원 | 등록일 2009.07.10
  • 논리회로설계실험 D-FF , 8bit Register 설계
    1)D-FF 설계 library IEEE;use IEEE.std_logic_1164.all;entity dff isport( d, clk : in std_logic;sim:/tb_dff
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 논리 회로 설계실험 디지털 시계 기말과제
    논리 회로 설계실험기말 과제[Digital Watch]INDEXSession1. (Introduction)The name of this project (과제 이름)Why? ... 대표적인 순차논리회로의 하나이다. ... 막연히 쉽게 지나치는 시계를 이번 1학기 중에 배운 실험을 통해 배운 것들 활용해 나의 기량을 향상 시킬 수 있을 것이라고 생각 하였다.카운터를 이용해 설계할 수 있는 가장 기본이자
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:10 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기