• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,223)
  • 리포트(2,073)
  • 자기소개서(129)
  • 시험자료(8)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 61-80 / 2,223건

  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... Part별 설계 회로 분석[Switch Part] : Start/Stop 버튼오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 이론적으로 설계를 한 이 회로에 문제가 있는지는 컴파일을 통해서 확인을 할 수 있었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 10-논리회로설계실험-예비보고서
    A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 5. 6논리회로설계 실험 예비보고서 #10실험 10. ... 과 목 : 논리회로설계실험과 제 명 : #10 순차회로 설계_FSM (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : ... 순차회로 설계_FSM1. 실험 목표순차회로의 응용인 FSM(Finite State Machine), 밀리머신, 무어머신의 개념을 이해하고 이를 실생활에 응용해 볼 수 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 09-논리회로설계실험-예비보고서
    A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 29논리회로설계 실험 예비보고서 #9실험 9. ... 과 목 : 논리회로설계실험과 제 명 : #9 순차회로 설계_카운터 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : ... 실험 목표순차회로의 일종인 동기식 카운터와 비동기식 카운터에 대해 이해하고 두 가지 종류의 카운터와 동기식 카운터의 일종인 존슨 카운터와 링 카운터를 VHDL을 이용해 설계할 수 있다
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 06 논리회로설계실험 예비보고서(순차회로)
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표래치와 플립플롭에 대해 이해한다. ... 기억 및 귀환 요소가 있어 플립플롭과 유사하지만 clock 입력이 없어 비동기식 순서논리회로이다.종류는 S-R래치와 D래치가 있다.- SR 래치S(set) 및 R(reset)으로 된 ... 실험 내용- 실험 1.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 06 논리회로설계실험 결과보고서(순차회로)
    논리회로설계 실험 결과보고서 #6실험 6. 순차회로 설계1. ... 실험 목표JK 플립플롭을 VHDL을 이용해 설계해본다.레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다.2. 실험 결과실험 1. ... 고찰이 전까지 설계했던 조합회로가 아닌, 순차회로설계하는 시간을 가졌다. 순차회로는 조합회로와 달리 클락을 갖게되며, 클락에 동기되어 출력값을 갖게 된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 02 논리회로설계실험 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 반가산기와 전가산기 설계1. ... 실험 목표VHDL을 이용하여 반가산기와 전가산기를 동작적 모델링과 자료 흐름 모델링으로 설계한다.그리고, 각 가산기의 논리회로를 그려본다.2. ... 실험 내용- 실험 1. 반가산기(1) 진리표를 작성하고 논리식으로 정리하시오.1) 진리표 , 논리식입 력출 력AB합(S)자리올림(C)*************101표1.
    리포트 | 6페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 03 논리회로설계실험 예비보고서
    논리회로설계 실험 예비보고서 #3실험 3. 병렬가산기 설계1. ... 이용하여 병렬가산기를 설계해본다.2. ... 실험 목표Signal 과 Variable, Constant의 차이를 이해하고 이진화 십진법과 그 덧셈에 대해 알아본다.병렬가산기에 대해 이해하고, 직접 8비트 병렬가산기를 그려본다.VHDL을
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 논리회로설계실험 프로젝트 8Bit 계산기
    논리회로설계 설계 보고서 #11. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.8bit 덧셈기를 구현하고 결과를 7 segment로 출력하는 회로설계하는 ... 토의이번 설계의 목표는 8비트 BCD 덧셈기를 설계하고, 그 결과를 7 segment로 출력하는 회로설계하는 것이었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic
    리포트 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 메모리 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity ram
    리포트 | 3페이지 | 3,000원 | 등록일 2010.12.22
  • 논리회로설계실험 ALU & multiplier (결과보고서)
    각 자리마다 어떠한 연산을 할지 정하게 되는데 이번에 설계할 8비트 ALU 회로는 산술연산 (덧셈, 뺄셈, 증가, 감소), 논리연산(AND, OR, XOR, NOT), 시프트연산을 ... [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설)이번 실험에서는 산술연산, 논리연산, 시프트연산을 수행하는 8비트 ALU를 설계하고 TESTBENCH를 ... 먼저 ALU는 if와 case문을 활용하여 회로설계하게 되는데 ALU 자체가 연산을 하고 싶은 상태를 지정하여 주는 것이기 때문에 if와 case의 역할과 크게 다른 것이 없게
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로설계실험 FSM moore LCD (결과보고서)
    [네이버 지식백과] 무어 순서 기계 [Moore sequential machine, -順序機械] (IT용어사전, 한국정보통신기술협회)>>설계회로이번에 설계회로는 moore machine을 ... 이러한 활동들은 논리적인 상태들의 진행으로 기술되는 호출 과정을 가진다. ... Evaluation지난 실험과 같이 LCD를 사용하는 면에 있어서는 똑같았지만 구현하는 방식에 있어서는 차이가 있었다. moore machine은 처음 설계해보긴 하지만 결국 조건문을
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 08 논리회로설계실험 결과보고서(카운터)
    논리회로설계 실험 결과보고서 #8실험 8. 카운터 설계1. ... 존슨카운터를 응용하여 설계하였다.실험의 목표는 클락 주파수가 2Hz인 회로이다. ... 실험 결과실험 1. 8비트 비동기식 업카운터 설계(1) 설계 내용1) 소스 코드2) 핀할당3) 7segment 표시결과4) 결과 분석이번 실험을 하기 전 작성했던 예비보고서에서 설계했던
    리포트 | 5페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 09 논리회로설계실험 예비보고서(fsm)
    논리회로설계 실험 예비보고서 #9실험 9. FSM1. ... 실험 내용- 실험 1. ... 실험 목표FSM의 개념에 대해 이해하고 Mealy machine과 Moore machine의 차이에 대해 알아본다.회로의 정상적 동작을 방해할 수 있는 glitch와 chattering에
    리포트 | 6페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 09 논리회로설계실험 결과보고서(fsm)
    논리회로설계 실험 결과보고서 #9실험 9. FSM1. 실험 목표무어머신의 개념을 이용해 주어진 동작에 맞게 작동하는 자판기를 설계한다.2. 실험 결과실험 1. ... 스위치를 길게 누르더라도 한 클럭만큼의 신호만 발생시키는 회로이다. ... 값은 클락상승 때마다 1비트씩 이동하는데 clean_output 결과는 D플립플롭 비트들의 or 값이므로 일정한 입력값을 가질 수 있게된다.두 번째 프로세스 문에서는 스텝 클록 발생회로
    리포트 | 7페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 08 논리회로설계실험 예비보고서(카운터)
    논리회로설계 실험 예비보고서 #8실험 8. 카운터 설계1. 실험 목표카운터의 개념과 종류에 대해 학습하고, VHDL을 이용하여 각 카운터를 설계한다.2. ... 실험 내용- 실험 1-1. 8비트 비동기식 업카운터 설계(1) VHDL 코딩8비트 비동기식 업카운터(2) 시뮬레이션 결과- 실험 1-2. 8비트 동기식 다운카운트 설계(1) VHDL ... 코딩8비트 동기식 다운카운터(2) 시뮬레이션 결과- 실험 2-1. 8비트 존슨카운터 설계(1) VHDL 코딩8비트 존슨카운터 설계(2) 시뮬레이션 결과- 실험 2-2. 8비트 링카운터
    리포트 | 9페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 07 논리회로설계실험 결과보고서(RoV)
    논리회로설계 실험 결과보고서 #7실험 7. RoV Lab7000 사용법1. ... 실험 목표RoV-Lab7000이 목표에 맞게 동작하도록 VHDL을 이용하여 설계한다.led와 7 segment로 원하는 결과를 나타내게 한다. RoV-Lab70002. ... 실험 결과실험 1.
    리포트 | 8페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 성균관대 논리회로 설계실험 VHDL을 이용한 4bit Full adder 입니다.
    1)4bit Full_adder의 schematic을 그리시오. a=”0101” , b=”1001”, c_in = ‘0’ 에 대해서 각각의 bit에서의 s와 most bit 에서의 c_out을 schematic에 표현하시오. (스캔 첨부 가능)1.Full_adder..
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    논리회로설계 설계 보고서 #21. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.7segment에 표시되는 스탑워치를 설계한다.스탑워치는 분, 초, 1/100초를 ... debouncing 회로● clock => stopwatch 몸체2) 핀 할당(2) 설계 방법1) Stopwatch스탑워치 코드의 작동방식은 다음과 같다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 논리설계회로실험
    실험제목: 비교기(5장 결과 보고서)1. 예비조사 및 실험 내용의 이해 1.1 비교기란?
    리포트 | 8페이지 | 1,000원 | 등록일 2009.04.01
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:44 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기