• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,223)
  • 리포트(2,073)
  • 자기소개서(129)
  • 시험자료(8)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계실험" 검색결과 41-60 / 2,223건

  • 논리회로설계실험 Memory 예비보고서
    논리회로설계 실험 예비보고서 #6실험 6. ... 조합 회로 설계-MEMORY예비 이론메모리의 구조메모리란 데이터를 축척 기억함과 동시에 차후에 필요할 때 꺼내어 이용할 수 있도록 한 장치를 말한다. ... 즉, 사용자가 아닌 집적 회로 제조업체가 프로그램 한 내용의 ROM유형이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 결과보고서
    논리회로설계 실험 결과보고서 #1실험 1. 기본게이트 설계1. ... 실험 목표주어진 진리표를 해석하여 해당하는 입출력 관계를 가진 논리회로를 Xilinx 프로그램을 사용하여 설계 해본다. ... 고찰(1) 조원1의 고찰A'BC회로 설계를 시작하기에 앞서, 주어진 진리표만으로는 설계회로의 입출력 관계를 명확히 파악할 수 없을 뿐만 아니라 출력 F를 입력 A,B,C에 대한
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 기본게이트설계 예비보고서
    논리회로설계 실험 예비보고서 #1실험 1. ... 기본게이트 설계실험 목표Xilinx 프로그램을 사용하여 2개의 입력(X, Y)을 가진 AND, OR 게이트를 동작적 모델링과 자료 흐름 모델링 방식으로 작성해 본다. ... 논리합을 구현한 디지털 논리 회로로 게이트의 입력을 A, B, 출력을 C라 하면 의 논리식을 구현한 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로설계실험]Decoder와 Encoder설계
    실험 내용1) 3x8 Decoder - Data Flow Modeling 아래 진리표와 같은 값을 갖도록 Decoder를 설계하라.2) 3x8 Decoder - Behavioral ... Modeling(case문 사용) 동일한 진리표를 사용하여 설계하라. ... and (not x(1)) and (not x(2)) and EN; -- 단순히 입력에 대한 출력을 지정해 주는 것이 아니라 converter와 and_gate를 이용한 동작을 위해 회로도의
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며 카운터 설계, finite state machine 설계 등이 있었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로설계실험 FlipFlop Register 예비보고서
    논리회로설계 실험 예비보고서 #7실험 6. 조합 회로 설계- Flip-flop, Register실험 목표Latch와 Flip-flop 그리고 레지스터에 대하여 알아 본다. ... 논리기호회로도VHDL 코드소스코드테스트 벤치 코드Waveform실험 2. ... 그 후 Flip-flop의 종류 중 하나인 JK Flip-flop의 진리표, 특성표, 상태도, 논리 기호, Nor 게이트를 이용한 회로도를 바탕으로 비동기 입력 신호를 제외하여 VHDL
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 FlipFlop Register 결과보고서
    논리회로설계 실험 결과보고서 #7실험 7. ... 스위치를 사용한 LED등을 작동시키는 실험을 해 보았다. ... 이 과정을 case문을 통하여 나타내었으며 출력 결과는 2-2)-(1)과 같다.세 번째 DIP Switch를 이용하여 단일 Segment 16진수 출력 실험에서는 첫 번째 실험과 유사하게
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • [논리회로설계실험]커피자판기설계
    커피자판기(FSM 설계)1. 실험 내용1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오.① 상태도② 동작 설명? 전원이 인가되고 Recet이 되면 "00"상태로 존재? ... ; -- 다섯 개의 입력과 하나의 출력을 선언, state_out은 회로의 상태변수가 어떤 값을 저장하고 있는지를 보여주기 위해 필요.reset : in std_logic;coin_in ... 실험 결과1) D FlipFlop1-1) Sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity fsm isport ( clk : in std_logic
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행하였다. ... 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. ... 그러나 이번 실험에서는 bit 파일이 아닌 mcs 파일을 PROM 설계하여 수행한다는 점에서 하드웨어 작동 방식이 차이가 있다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 논리회로설계실험 프로젝트 라인트레이서
    논리회로설계 프로젝트 설계 보고서1. ... 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다.line tracer 가 적외선 센서를 이용해 흰 줄을 따라 이동하도록 하는 것이 ... 일반적으로 사용자가 데이터를 써 넣을 수 없으며 언제든지 다시 사용할 수 있다.이번 실험에서는 ROM중에서도 프로그래밍이 가능한 PROM을 이용해 프로그래밍 한다.■ PROM(Programmable
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 논리회로실험 설계 보고서
    1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 ... 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2012. 12. 17과목명: 논리회로실험 ... VHDL은 동기식뿐 아니라 비 동기식 순차 회로 구조도 처리한다.5. 한 설계에 대한 논리 연산 및 타이밍 동작은 시뮬레이션 될 수 있다.2.
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로설계실험 ALUkit (결과보고서)
    Conclusion이번 실험은 이전에 설계하였던 ALU회로를 사용하여 키트에 직접 적용시키는 회로였다. ... -Describe how you solved먼저 ALU의 경우는 이전 실험에서 설계하였던 회로를 약간 변경하여 사용을 하였다. ... 이것은 산술연산과 논리연산을 하는 유닛이다.외국어 표기Arithmetic and Logic Unit(영어)ALU arithmetic and logic unit 산술 논리 연산 장치(
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2실험 2. 조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 또한 Schematic design을 이용하여 전가산기의 논리회로를 구성해 본다. ... **동작적 모델링 소스 코드자료 흐름 모델링 소스 코드구조적 모델링 소스코드테스트 벤치 코드Schematic DesignWave Form고찰A6_주상욱이번 실험에서 설계할 전가산기의
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 반가산기 전가산기설계 예비보고서
    논리회로설계 실험 예비보고서 #2실험 2. 조합 회로 설계-반가산기실험 목표반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 반가산기를 Behavioral modeling, Dataflow modeling, Structural modeling 방식으로 코딩하여 시뮬레이션 결과를 확인한다.반가산기진리표논리식과 논리회로논리논리회로소스코드동작적 ... 일반적으로 산술 연산에서는 여러 자리의 이진수 덧셈이 필요하기 때문에, 이러한 경우 전가산기를 사용한다.실험 내용실험1.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • [논리회로실험] 실험1. 기본 게이트 설계
    과 목 : 논리회로설계실험과 제 명 : 실험1. ... 기본 게이트 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.03.24IntroductionModelSim 프로그램을 통해 '논리회로' 시간에 ... 배운 논리 게이트들의 VHDL 코드를 직접 짜보고 실제로 잘 구현되는지 확인한다.Design① Describe what your circuit does이번 사용할 회로는 단순한 논리
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.22
  • 아주대학교 논리회로실험 설계 에비보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... Part별 설계 회로 분석[Switch Part] : Start/Stop 버튼오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 하지만 설계시 제한점으로, 오직 7개의 line밖에 사용할 수 없음을 유념해야 한다. 여기서는 74151 MUX를 이용해 보기에 간단한 회로를 구성하기로 하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 01-논리회로설계실험-예비보고서
    4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 3. 11논리회로설계 실험 예비보고서 #1실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #1 기본게이트 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 기본 게이트 설계1. 실험 목표VHDL의 기본개념과 프로그래머블 로직의 형태를 이해하고 이를 통해 기본 게이트를 설계할 수 있다.2. 예비 이론(1) CPLD, FPGA란?
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 아주대학교 논리회로 실험 설계 예비보고서
    논리회로 실험설계설계주제:스톱워치목차1. 설계 목표2. 동작 조건3. ... part(4) Segment output control part(5) Switch part(6) 총 설계 회로5. ... 설계 목표- FPGA를 사용하여 자유 주제로서 스톱워치를 설계한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    [설계 Project. Up/Down Counter 설계]? 실험 목적- FPGA를 이용하여 Up ? Down Counter를 설계한다.? 작동 원리 설명1. ... Part별 설계 회로 분석[Switch Part] : Start/Stop 버튼오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 이론적으로 설계를 한 이 회로에 문제가 있는지는 컴파일을 통해서 확인을 할 수 있었다.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 04-논리회로설계실험-예비보고서
    4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 1논리회로설계 실험 예비보고서 #4실험 1. ... 과 목 : 논리회로설계실험과 제 명 : #4 디코더 엔코더 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 따라서 각 분할 영역(a~g)은 입력 4개에 의해 출력을 결정 지어져야 한다.- 따라서 카노맵을 이용하여 논리회로설계해보면 다음과 같다.3.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:58 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기