• 통큰쿠폰이벤트-통합
  • 통합검색(2,088)
  • 리포트(1,869)
  • 자기소개서(180)
  • 논문(19)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 221-240 / 2,088건

  • 진동및메카트로닉스 자율주행 실험 보고서
    V2X는 통신으로 연결된 미래의 자동차를 위한 기반기술로써 완전히 자동화된 교통 인프라를 가능하게 할 핵심기술이다(2) 서보모터서보모터(Servo Motor)는, 모터제어구동보드 ... (적당한 제어 회로와 알고리즘)을 포함하는 것으로 모터 자체만 가지고 서보모터라 하지 않는다. ... 위 실험에서는 경로를 따를 때 제어를 사용하지 않고 단순히 회전각을 계산하고 회전 후 이와 맞으면 직진 시켰을 뿐이었다고 한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • [텀프] 아날로그회로설계(op-amp) 텀프로젝트 스마트신발장
    서보 모터 회로6. 브레드 보드 구현 및 실제 파형7. datasheet8. 결론 및 고찰9. 실험 사진10. 참고자료1. ... 가동시킬 때, 모터로 부터의 피드백을 통해 정확하게 제어할 수 있는 구조를 갖추고 있는 모터이다.서보모터의 회전 각도의 원리는 아래 그림과 같이 PWM (펄스폭변조) 방식으로 제어한다.전체 ... 이 때, 모터제어하려면, 듀티비가 50% 미만이어야 하는데, 이를 위해 위의 회로처럼 구성해주면 된다.
    리포트 | 16페이지 | 3,000원 | 등록일 2019.12.30 | 수정일 2020.01.02
  • [A+] 부산대학교 기계공학부 기계공학실험(1) CNC밀링 실습보고서 (2023학년도 3학년 1학기)
    가공 도중 문제가 발생한다면 제어판 좌측에 있는 DC모터 스위치를 내리고 프로그램의 전원 아이콘을 눌러 OFF시킨다. ... [그림17] 가공 중인 모습[그림18] 가공 중인 프로그램 화면⑫ 가공이 완료되면 제어판 좌측에 있는 DC모터 스위치를 내리고 제어판 우측의 비상버튼을 누르고 전원 스위치를 끈다.⑬ ... 사용기기- 소형 CNC밀링장비, 컴퓨터, 버니어 캘리퍼스, 솔- 보호구 : 보안경[그림1] 소형 CNC밀링장비[그림2] 제어판[그림3] 전원스위치 / DC모터 스위치[그림4] 소형
    리포트 | 12페이지 | 1,500원 | 등록일 2023.12.28
  • Chap7. 기초 진동실험
    가진력을 발생시키는 가진모터의 회전속도는 속도제어기(speed control unit)으로 조정하며, 발생진동은 강체보 끝단에 부착된 펜이 일정 속도로 회전하는 기록 회전드럼 위의 ... 진폭비)와 응답위상각를 구한다.강제 진동가진모터 속도 제어기의 전원을 켠다응답 위상측정을 위해 가진기 원판(불평형 원판) 위에 원판 궤적 기록지를 붙이고, 프레임 위측 보에 설치된 ... 기록되는 위상각 를 측정한다.실험 결과 분석 및 고찰주어진 물성치스프링 상수 K =모터 질량 m =빔 질량 M =빔 길이 L =보의 지지점에서 감쇠기까지의 거리보의 지지점에서 모터까지의
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.05
  • [A+] 제어공학실험 세그웨이 보고서
    제어공학실험Segway1. 세그웨이란? ... 이것은 Steering에사용된다.④ Drive Control: Get Motor Control에서 받은 값을 계산하여 바퀴의 스피드, 모터의 출력량을 전달한다.입력/출력을 입력 motorPos에 ... 그것은 또한 통합된자이로 각도를 유지한다. gyroSpeed의 출력은 gyroAngle의 출력이 거의 없는 상태에서degrees/second에 있다.③ Get Motor Data:
    리포트 | 5페이지 | 2,500원 | 등록일 2019.11.28
  • 아두이노 보드 활용 조사 & 라즈베리파이 아두이노 차이점 정리 레포트
    산업분야 – 자동제어, 모니터링 분야아두이노는 구현하고자 하는 기능의 수준에 따라 간편하게 실험해보고 만들 수 있는 최적의 플랫폼이다. ... 로봇, 드론 활용아두이노는 DC모터, 스텝핑모터, 서보모터의 정밀한 제어가 가능하여, 장애물 감지 센서와 모터를 아두이노와 연결해 로봇을 만든다면 장애물을 피해가는 로봇도 만들 수 ... 이를 위해선 정량 배급을 위한 무게센서와 사료를 주기위한 스텝모터, 타이머만 있으면 가능하다.2-2.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.07.01 | 수정일 2023.07.02
  • 로봇 실험 보고서 [A+]
    행렬과 벡터로봇제어에서 행렬과 벡터를 사용하는 이유는 매트랩을 이용하여 쉽게 연산하는 것이 가능하기 때문이다. ... 실험 이론1. 조인트(Joint)조인트란 기계ㆍ기재의 결합으로 2개의 축을 결합하되, 한쪽 축에서 다른 축으로 회전력(토크)을 전달하는 부분이다. ... 그리고 Revolute Joint는 모터에 의해 회전이 일어나게 하는 것으로, 한쪽은 고정되어 있다고 가정을 하면 다른 한쪽에서는 모터에 의한 토크로 인해 회전이 발생하게 된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2022.11.30
  • PID 제어기 설계를 통한 구슬위치제어
    결국, DC모터모터의 각도를 이용하여 빔의 기울어진 각을 제어한다. ... . . . . . . . . . . . . 171.실험제목-구슬의 위치 제어를 위한 PID 제어기 설계2.실험목적1)구슬의 위치 제어를 위한 PID 제어기 설계 실험은 기준 구슬의 ... (비례-적분-미분 제어기)7)적분 누적 및 적분 누적 방지법4.실험장치 및 방법 . . . . . . . . . . . . . . . . . .101)실험장치2)실험방법5.실험내용
    리포트 | 17페이지 | 2,500원 | 등록일 2020.12.15
  • 기계공학응용실험 보고서 'PLC실험'(A+) - 부산대학교 기계공학부
    실험 내용본 실험에서는 PLC의 동작 이해를 위한 입출력점 간의 결선을 통한시스템 동작의 확인, 래드 다이어그램 작성과 실행을 통한 스테핑 모터제어 및 선형운동 모듈의 이송을 제어하는 ... 실험목적자동화된 기계시스템은 사용자나 센서의 입력을 받아 정해진 로직이나 가동순서에 따라 모터, 솔레노이드, 스위치와 같은 출력장치를 제어하는 시스템이다. ... 그리고 솔레노이드 밸브, 파일럿 램프, 모터 가동기, 포지션 스위치 같은 제어장치들은 출력 인터페이스 터미널에 연결된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • [예비레포트] 기초 시퀀스 회로 실험
    램프제어AND 논리회로를 이용한 램프제어실험기기시퀀스 실험 장치판, 스위치, 릴레이, 타이머, 30W 백열등, 연결선 PAGE \* MERGEFORMAT 2 ... 기초 시퀀스 회로 실험개요시퀀스 회로의 기본개념과 기본소자에 대하여 이해하고 시뮬레이션을 이용하여 시퀀스 회로의 원리를 익힌다.관련이론시퀀스 제어그림1. ... 타이머모터식 타이머란 입력신호에 의해 동기 모터를 회전시켜, 그 기계적인 움직임에 의해 소정의 시간이 경과된 후에 출력접점을 개폐하는 것을 말한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.26
  • 건국대학교 전기전자기초실험2 모터드라이브 레포트
    따른 , 의 위상관계를 설명하시오.실험 1에서는 의 부분을 직류전동기의 모터와, 부분을 모터 부분과 연결하였고, 그 결과 직류전동기가 반시계 방향으로 회전하였다. ... 전기전자기초실험2 레포트 – 모터드라이브학번이름본 실험에서는 직류 전원공급장치를 이용하여 직류전동기를 구동하고 전기자전압, 결선법에 따른 속도 특성을 확인한다. ... Sensor AoutBLUE : Hall Sensor BoutGREEN : Hall Sensor GNDBROWN : Hall Sensor VCCRED : + MotorBLACK : - Motor실험
    리포트 | 10페이지 | 7,000원 | 등록일 2024.09.13
  • 동흡진기를 이용한 진동 제어 실험
    동역학 제어 실험 5주차 레포트7. 동흡진기를 이용한 진동 제어 실험1. ... 예로, 가속도계의 부적절한 위치라던지 모터의 fluctuation 등이 정확한 데이터 측정에 방해를 주어 오차 원인이 되었을 것이라는 분석이다.3) 공기 저항, 마찰 등으로 인한 운동에너지 ... 이 진동계에 2 차 진동계 (secondary mass-spring system)를 결합시키면 주진동 계의 과도한 진동을 흡수, 제어할 수 있다.
    리포트 | 11페이지 | 3,500원 | 등록일 2023.04.25
  • 자동차융합실험 MyRio,큐브서보보고서
    또한 QUBE-servo 위쪽에는 Pendulum을 연결하며 회전이 가능하도록 모터가 달려있다. 이렇게 달려있는 모터를 통해 우리가 Pendulum을 제어하였다. ... MyRio & QUBE-Servo를 이용한자동제어 이론 실습 보고서자동차융합실험 Ⅱ학과학번이름분반실험날짜제출날짜실험담당조교1.실험 목적제어기는 다양한 분야에 응용될 수 있다. ... 또한 이 실험에서 사용되는 장비 사용법을 익히며, PO(% Overshoot.이하 PO), Peak time, Kp, Kd등의 값을 직접 구해보며 제어기에 대해 폭 넓게 이해하고 제어기의
    리포트 | 14페이지 | 7,500원 | 등록일 2020.12.01
  • 제어계설계 Term Project
    실험 제목Matlab simulink와 arduino를 이용한 servo motor의 각도 변화에 따른 potentiometer 출력 전압의 각도에 대한 calibration.2. ... 실험 이론(1) 풍력 터빈속도 제어기풍력 발전기는 바람으로 터빈을 움직임으로써 발전기를 통해 운동 에너지를 전기 에너지로 변환시키는 장치이다. ... 실험 목적PID Controller의 변수와 system 제어계의 수학적 모델을 단순화함 등으로 제어계를 변화시켜가며 Root Locus의 그래프 모양 변화와 근 궤적 위에서 설정한
    리포트 | 15페이지 | 1,000원 | 등록일 2021.05.17
  • 아주대학교 기계공학응용실험 A+ 결과보고서 DC모터 구동 및 DAQ
    실험 이론2.1 BLDC Motor모터 내부의 마모되기 쉬운 부분(Brush)을 제거해 내구성을 높이고, 고속회전에 무리가 없는 모터의 방식으로, DC모터 제어와 유사하나 모터 구동 ... 일반 DC모터에 비해 오래 사용해도 소음이 늘거나 성능이 떨어지지 않으며 정밀한 속도 제어가 가능하고 에너지 효율이 높다.표 1 BLDC모터와 DC모터의 비교DC 모터BLDC 모터내구성짧다반영구적소음크다적다운전방향단방향양방향소비전력적다가장 ... viscous friction constant,K _{c}: motor torque constantulink code3.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.25
  • 유도기 동기기 MG세트의 3상 인버터 구동 실험 결과보고서
    바이너리 입력 신호를 제어단자 P5 ~ P7에 인가한다. ... 낮은 주파수로 연속 운전할 경우 모터 속도가 떨어지면서 발열이 생기고 전압강하로 인해 토크가 낮아지는 문제가 있다. ... 또한 속도를 자유롭게 구현하여 제품을 정밀하게 제어할 수 있다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.02.21
  • PI Velocity Control
    실험 목적1) PID 제어기의 기초에 대하여 공부한다.2) Block Diagram을 통한 제어 구조에 대하여 공부한다.3) DC motor와 Encoder Counter를 이용하여 ... 실험이론3.1 PID 제어기- PID 제어기는 대표적인 피드백 제어기의 일종으로, 사용자가 원하는 시스템 출력(Reference)과 실제 시스템의 출력간의 오차를 이용하여 새롭게 입력을 ... 실험제목 : PI Velocity Control2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.07
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    실험내용PLC의 동작이해를 위한 입출력점 간의 결선을 통한 시스템 동작의 확인, 래드 다이어그램 작성과 실행을 통한 스태핑 모터제어 및 선형운동 모듈의 이송을 제어하는 실험과 다양한 ... 실험목적자동화된 기계시스템은 사용자나 센서의 입력을 받아 정해진 로직이나 가동순서라 모터, 솔레노이드, 스위치와 같은 출력장치를 제어하는 시스템이다. ... 그리고 솔레노이드 밸브, 파일럿 램프, 모터 가동기, 포지션 스위치 같은 제어 장치들은 출력 인터페이스 터미널에 연결된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • [경북대학교]기계공학실험 기계공작가공 실험보고서
    실험 목적본 실험에서는 기계공작법 중 하나인 CNC 밀링(머시닝센터) 가공 실험을 통해 CNC에 대해 알아보고 작동방법 및 프로그램 작성 방법(G코드)을 익힌다. ... 화면이 켜지면 power on 버튼을 한번 더 눌러 DC servo모터를 활성화시킨다. 기계 0점을 잡는다. ... 그리고 부호화된 명령은 제어기에 입력되며 현대의 제어기는 소위 단어주소 형식(Word address format)을 이용한다.기계가 움직이는 각각의 명령부호 집합은 NC블록이라고 하며
    리포트 | 8페이지 | 2,000원 | 등록일 2023.11.14 | 수정일 2023.12.15
  • 3. 스텝 모터 구동기 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    스텝 모터의 회전각은 가해진 펄스 수를 조정함으로써 제어할 수 있다. ... 실습 활용 방안- 스텝 모터와 74HC194 를 사용한다면 정밀한 모터의 각도 제어가 필요한 경우 활용도가 높을 것이다. ... 실습 목적- 단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.18 | 수정일 2023.01.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대