• 통큰쿠폰이벤트-통합
  • 통합검색(999)
  • 리포트(911)
  • 시험자료(57)
  • 자기소개서(17)
  • 방송통신대(14)

"순차논리회로" 검색결과 221-240 / 999건

  • 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    래치 종류에 따라 입력은 한개 또는 두개를 사용한다.논리 회로 시스템 설계에서 경우에 따라 래치의 입력을 반영할 시점을 조절할 필요가 있다. ... 래치를 게이트-래치(gated latch)이라고 한다.E 신호가 없을 경우 입력의 상태가 바로 반영된다.간단한 set-reset 래치- SR NOR 래치SR 래치는 가장 간단한 순차회로이다 ... 최적화 코드(if문을 활용한 것과 동일하다.)1:4 DEMUX by ifTest benchSimulation 결과Pin 연결(7) Lab 7 (응용과제)- 다음의 진리표를 가지는 논리회로
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 플립플롭 실험보고서
    [S-R 래치][NOR게이트를 이용한 S-R 래치]가장 간단한 순차회로로서 그림과 표를 통해 S-R래치를 나타내었다. ... NOR 논리 게이트를 교차 되먹임 입력을 통해 만들어 진다. 저장된 현재 상태출력은 Q로 표시한다. ... 회로도의 경우에도 JK플립플롭에서 J와K가 합쳐진 형태와 같다. 의 특성식을 가지며, T 플립플롭은 카운터 및 주파수 분주회로 등의 스테이트 머신 설계에 유용하게 사용된다.3.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.26
  • 레지스터의 역할과 종류를 설명하시오. 시스템 프로그래밍 과제점수 15점 만점을 받은 자료입니다.
    또한, 레지스터는 플립플롭의 연결을 기본으로 하는 순차 논리회로이다. ... 특수 레지스터-누산기(AC)ALU 내부에 위치하며, 산술 및 논리 연산 중에 사용되는 레지스터이다. ... 하나의 명령어에서 다른 명령어 또는 운영체계가 제어권을 넘긴 다른 프로그램으로 데이터를 전달하기 위한 장소를 제공하며, 산술적 논리적 연산이나 정보해석, 전송 등을 할 수 있는 일정
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.25
  • [기초전기전자실험] 실험 20 PLC 제어 실험보고서
    간단한 로직의 프로그래밍에 주로사용한다.쉽게 표준 구성을 사용할 수있다.순차논리를명확히 표시하기 때문에프로그램 작성,유지, 보수 관리가 쉽다.단점대형 프로그램의 가독성과 재사용성이 ... LadderDiagram)FBD(FunctionBlockDiagram)IL(InstructionList)ST(StructuredText)SFC(SequentialFlow Chart)특징릴레이 시퀀스회로와 ... 프로그래밍을 하기 위한텍스트 기반, 하이레벨 언어이다.다른 언어들로표현되는 액션들을 포함하는 구조로서의 개념을 가진다.래더 프로그램의 대체언어로대두되는 언어로 이산 제어 시스템의 순차
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.13
  • 전기 전공면접 핵심요약 (한전, 남동발전, 서부발전, kps)
    PLC는 입력을 프로그램에 의해 순차적으로 논리 처리하고 그 출력 결과를 이용해 연결된 외부장치를 제어하며, 순차제어에 사용되는 대표적 장치로 알고 있습니다.(37)(전공)접지공사의 ... 제 1법칙 (KCL) : 전기회로망에서 유입하는 전류의 총합과 유출하는 전류의 총합은 같다.키르히호프의 제 2법칙 (KVL) : 폐회로 내에 공급되는 공급전압은 전압강하의 대수합과 ... KVL (키르히호프 제2법칙)- 회로상의 어떤 폐회로를 선택하여도 기전력의 총합은 전압강하의 총합과 같다.공급된 에너지와 소비된 에너지는 같다는 에너지 보존법칙의 또 다른 표현식.키르히호프의
    자기소개서 | 31페이지 | 3,000원 | 등록일 2022.03.20
  • [전기전자실험]PLC제어 실험보고서
    최근 LD 프로그램의 대체언어로 대두되는 언어로, 이산제어 시스템의 순차 논리를 그래픽하게 표현할 수 있다.? ... 이 상태의 변화를 발진상태 검출회로로 검출하여 출력회로를 동작시킨다.[장점]? ... 니모닉 또는 래더 다이어그램 방식으로 작성된 여러 개의 프로그램 블록들을 순차적으로 도식화하여 그 실행 조건을 부여하는 방식이다.[특징]?
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.17 | 수정일 2023.11.27
  • [만점] OSI 7계층 모델의 주요개념을 논하고, 계층 중 하나를 선택하여 주요 기능과 활용 사례를 찾아 분석해보세요
    기능적으로는 상호 접속 회로의 기능, 데이터, 타이밍 등을 정의하고, 절차적으로는 데이터 전송 순서와 물리 연결의 (비)활성화를 등을 정의한다.2)역할① 회선구성2개 이상의 장치를 ... 이점을 보아 순차적으로 부여된 동기점은 단계적으로 신뢰성을 보장할 수 있다는 것을 알 수 있다.③ 데이터 전송방식 및 대화 제어일반적인 두 장치 간의 전송방향은 단방향, 반이중, 전이중 ... 내의 혼잡현상을 방지하는 기능을 담당한다.6.전송계층(transport layer : 송수신 시스템간의 신뢰성 있는 데이터 전송)1)개념전송계층은 신뢰할 수 있는 데이터를 목적지에 순차적으로
    리포트 | 14페이지 | 5,000원 | 등록일 2022.07.25 | 수정일 2022.07.27
  • 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서주제 : 라인트레이서 설계1. ... 설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로순차회로를 설계하였다. ... 순차회로에서 설계한 분주기 설정, finite state machine 설계 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • multiplexer(멀티플렉서) VHDL 실습보고서
    배경이론(Background)1)Multiplexer여러 개의 입력신호중 하나를 선택하여 출력하는 논리회로로, 세가지 값으로 구성된다, 입력값과, 입력값을 선택해주는 값, 그리고 출력값으로 ... 입력값이 00000001부터 1이 한자리씩 옮겨가며 10000000 까지 대입되고, Selection 값은 000~111부터 순차적으로 1씩 커지며 대입됩니다.3)Result wave그림 ... 그리고 이를 회로도로 구현하면, 아래와 같은 회로도가 만들어진다.그림2. 2-1multiplexer내부회로도2)8-1 multiplexer오늘 실습에서 구현하고자 하는 것은 8-1
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서6
    IC(74HC76)로 회로를 구성한 실험은 클록이 Falling edge일 때, 올바르게 Set, Reset, 유지, Q값이 반전되는 T로, 이전 출력을 현재 출력에 반영하는 순차회로의 ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 그 이유는 DRAM과 달리 Capacitor 회로를 사용하지 않고 Latch 회로를 사용하기 때문에 리프래시 회로가 필요 없고, 일반적인 DRAM보다 빠르게 동작하며 주변 제어회로
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 실험 5제너다이오드 회로의 특성 실험
    전압 전류 특성을 이해하고 제너 전압 조정기를 구성하고 회로의 특성과 제너 이탈점을 알아보는 실험이었다.각각의 제너 다이오드 1N751, 1N757, 1N759에 1V~20V순차적으로 ... (a) 엔코더 (b) 논리게이트 (c) 비교기 (4) 전압 조정기5-6. ... 제너다이오드 회로의 특성 실험[목적]• 제너 다이오드 회로의 전압 전류 특성을 이해할 수 있다.• 제너 전압 조정기를 구성하고 회로의 특성을 할 수 있다.• 제너 이탈 점 (Zener
    리포트 | 10페이지 | 1,000원 | 등록일 2023.07.12
  • 디지털 논리회로 실험 7주차 JK-FlipFlop 예비보고서
    실험 준비1) S-R Latch와bar {S }- bar{R} Latch의 동작에 대해 설명하시오.: SR NOR 래치(S-R Latch)는 가장 단순한 순차회로입니다. ... 디지털 논리회로 설계 및 실험예비보고서주제 : JK FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험 이론디지털 시스템에서 클럭 (clock) 신호에 의해 각종 논리신호가 동작되는데, 플립플롭 역시 이 신호에 동기 되어 동작한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.04.22
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    멀티플렉서멀티플렉서는 여러 개의 입력데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로이며 데이터 선택기라고도 한다. ... 입력이 모두 ‘L'일 경우 ’H'가 되며, 이 신호와E _{i}신호를 순차적으로 연결하면 더 많은 입력신호를 갖는 우선순위 인코더 회로를 만들 수 있다. ... 이러한 회로를 우선순위 인코더라고 부른다.그림 5-6과 같은 인코더 회로의 또 다른 문제점은 모든 입력이 ‘L'인 경우와 D?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 정보처리활용 퀴즈 문제/정답
    덧셈과 뺄셈을 덧셈 회로로 처리할 수 있다.라. 수를 표현하는데 저장장치를 절약할 수 있다.정답 다9. 데이터베이스에서 자료의 중앙 통제시 가장 큰 장점은?가. ... 배열정답: 나선형 : 구조와 비선형 구조 해설: 선형은 자료를 구성하는 원소들을 순차적으로 나열비선형(그래프) : 정점과 두 점을 연결하는 선의 집합19. ... 자료의 구성은 비순차적이어야 한다.라. 자료의 구성은 홀수, 짝수 순으로 이루어져야 한다.정답: 가13. 관계 데이터 모델에서 릴레이션의 특성에 해당되지 않는 것은?가.
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.22
  • [방송통신대 컴퓨터과학과] 컴퓨터의이해 출석수업대체과제물
    불가능한 회로를 만드는 데 정보를 제공합니다.? ... 양자 컴퓨터- 특징 : 기존의 컴퓨터는 규칙에 따라 순차적으로 0 또는 1에 해당하는 데이터를 나타내나 양자 컴퓨터의 경우 원자 입자를 사용함으로써 여러 가지를 나타내는 능력을 가진다 ... 즉 절대 상태 나 논리 게이트가 아닌 확률 규칙에 의해 조작됩니다.- 용도 : 작은 원자 입자를 계산하여 약물 특성 결정, 복잡한 계산 수행, 기상 조건 예측 및 칩 설계와 같이 현재
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.07.17 | 수정일 2020.07.21
  • 정보컴퓨터 과목별 기출 주제정리 20개년 (2002~2022)
    논리회로컴구조OS자료구조DBCNC언어"그 외(인공지능, 소공 등)"2002"카르노맵, 논리회로도"제어장치 레지스터 역할"디스크 스케줄링/ 프로세스 스케줄링(선점형, 비선점형)""이진 ... 탐색 트리, 이중 원형 연결리스트""데이터 종속성, 중복성 의미/ 관계DB 무결성제약조건"근거리통신망/ 회선교환 vs 패킷교환20032진 순차카운터 설계어드레싱모드/ 명령어 사이클페이지
    시험자료 | 1페이지 | 2,000원 | 등록일 2022.04.14
  • 알고리즘 조건을 정리하시오.(수업시간에 말한 내용에 기반하여 작성하세요.) 알고리즘 과제점수 15점 만점을 받은 자료입니다.
    알고리즘의 종류는 다양하며 컴퓨터 프로그램으로 구현되기도 하지만 전기회로나 신경회로에 사용되기도 한다. ... 9세기 페르시아의 수학자의 이름을 라틴어화하여 알고리스무스에서 유래하여, 알고리즘이란 출발지에서 목적지까지 최적의 경로를 찾는 방법으로써, 문제 해결의 절차 즉, 문제를 해결하는 논리적인 ... 함수를 계산하기 위하여 모호함이 없는 간단한 명령어로 구성된 일련의 순차적 단계이며, 컴퓨터에서 어떤 일을 하는 절차를 알고리즘으로 표현하기 위해 다양한 명령어를 사용한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.25
  • 컴퓨터활용능력 컴퓨터일반 2022 개정
    다중 매체특징디지털화쌍방향성 : 상호작용에 의해 데이터 전달비선형성 : 비순차적 처리정보의 통합성하이퍼 텍스트, 하이퍼 미디어하드웨어시스템의 구성요소입력장치저장장치있다. ... 레지스터연산장치(ALU : Arithmetic and Logical Unit)연산장치는 명령어를 실행하기 위한 마이크로 연산을 수행하는 장치로, 연산에 필요한 자료를 입력받아 산술, 논리 ... 있다.PC 업그레이드소프트웨어 업그레이드 : Windows 7 -> Windows 10하드웨어 업그레이드 : 하드웨어 업그레이드윈도우에서 PC관리디스크 관리디스크 검사 : 디스크에 논리
    시험자료 | 39페이지 | 3,000원 | 등록일 2022.01.31
  • 논리회로실험 결과보고서 디코더 엔코더
    논리회로설계 실험 결과보고서 #4실험 4. 디코더 & 엔코더1. ... 자료흐름적 설계로, cw(0~2)까지 아래와 같이 패리티코드가 들어가게 설정하였고, cw(3~6)까지 원래의 값인 dw값이 순차적으로 들어가게 하는 암호화코드를 설계하였다.?
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • VHDL을 통해 구현한 Counter
    배경이론(Background)1)FSM(Finite State Machine, 유한상태기계)순차논리회로의 일종으로, 래치, 플립플롭, 레지스터, 메모리 등의 소자로 구성되어, 상태( ... state)를 저장할 수 있는 회로이다. ... 이러한 이점은 회로도에서 플립플롭의 개수를 줄여주는 경제성을 갖지만, 입력에 의해 출력값이 바뀔 수 있는 회로로, noise에 취약하다는 단점을 갖는다.4)Counter2진수나 gray
    리포트 | 14페이지 | 2,000원 | 등록일 2020.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대