• 통큰쿠폰이벤트-통합
  • 통합검색(999)
  • 리포트(911)
  • 시험자료(57)
  • 자기소개서(17)
  • 방송통신대(14)

"순차논리회로" 검색결과 161-180 / 999건

  • 산술논리연산회로 실험보고서
    ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence ... 실험목적본 실험을 통해 산술논리연산회로에 대해 알아본다.산술논리연산회로를 구현하여 산술연산회로 동작을 확인해본다.산술논리연산회로를 구현하여 논리연산회로 동작을 확인해본다.2. ... 실험보고서산술논리연산회로1.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • [부산대학교][전기공학과][어드벤처디자인] 9장 4비트 Binary Adder, 2's Complement 4비트 Adder / Substrator 연산회로(9주차 결과보고서) A+
    -단점 : 자리 올림 예견법은 비트 계산 전에 비트의 조합에 따라 결정 될 자리올림수를 계산하기 위한 추가적인 논리회로가 필요하다.다른 종류의 가산기를 조사하여 32비트의 가산기를 ... -자리 올림 예견법: 각각의 비트의 순차적인 덧셈을 통해 자리올림수를 계산하지 않고 비트들을 계산하기 전에 각 비트의 조합을 통해서 자리올림수를 먼저 결정한 후 비트들을 계산 하는 ... 결과그림 9.1의 회로에 다음의 입력을 넣고 출력을 구하시오.위 그림을 참고하여 74LS86과 74LS08과 74LS32를 이용하여 회로를 구성하였다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.25
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)8. 래치와 플립플롭 할인자료
    (실험목적과 중요한 결과를 함축적으로 표현한다.)요약 : 순차논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인하였다. ... RS 플립플롭의 구현 및 동작(A) 그림 8-2의 회로를 TTL 7400과 7404를 사용하여 구성한다.(B) 그림 8-2 회로의 타이밍 차트를 제출한다. ... NOR게이트와 NAND 게이트를 이용하여 RS-Latch를 설계하고 시뮬레이션과 실제 회로의 동작을 비교해보았다.
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 부산대 어드벤처디자인 예비보고서 10주차 A+보고서 1등보고서
    K-맵을 이용하여 그림 10.7(a) ~ 그림 10.7(d)에 나타낸 논리회로와 여기표로부터 특성방정식을 유도하라. ... 그 용도를 설명하라.1) Serial-in to Parallel-out (SIPO)단일의 데이터가 시프트 레지스터를 거쳐 다수의 출력으로 나타내는 구조이며, 클록이발생할 때마다 순차적으로 ... SIPO2) Serial-in to Serial-out (SISO)SISO는 입력 데이터를 그대로 저장하고 있다가 클록에 따라 플립플롭을 거치면서 시간 delay를 거쳐 출력되는 회로이다
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    클럭은 순차회로의 filp-flop에서 반드시 필요하다.- Reset SwitchFilp-flop의 타이밍을 동기화하기 위해서 Reset을 활용한다. ... 따라서 XC3S200에서 구현 가능한 최대 논리 게이트 수는 4,320인 것 같다. ... CPLD는 일반적으로 수천에서 수만 개의 논리 게이트를 가지므로 중간 정도의 데이터 처리 장치를 구현할 수 있다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    조합회로와는 다르게 순차회로에서는 clk를 선언해줘야 했다. ... 그렇기 때문에 Moore machine의 설계는 assign문을 사용하였다. assign문에서 각각의 경우를 나눠서 출력을 할당하기 위해 논리 연산자 ( )와 ?를 이용하였다. ... 쓰레기 값이 들어가면 코드 동작이 불확실해지므로 처음에 무조건 회로를 초기화해야 한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 시립대 전전설2 Velilog 결과리포트 3주차
    주로 조합논리회로순차논리회로의 설계, 설계된 회로의 시뮬레이션을 위한 테스트 벤치의 작성에 사용된다. ... 예상결과- Schematic을 이용하여 게이트를 직접 그려서 논리 회로를 설계하고 시뮬레이션 및 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기 ... Full_adder using gate primitive3) Behavioral modeling- 상위 수준의 모델링으로써 기본적으로 특정 값을 할당해주는 원리이다.4) AND Gate- 출력은 논리
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 서울시립대학교 전전설2 1주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    (combinational logic) 및 순차 회로(sequential logic) HYPERLINK \l "주석8"[8]위 두가지에 대한 큰 차이는 현재 입력 값 외에 다른 값이 ... 출력 값에 영향을 끼치는 것에 대한 여부이다.- combinational logic은 현재의 입력 값들을 논리 회로 내부의 연산자들을 통해 출력 값을 내보내는 기능이다.예시로는 이번 ... 회로이다.
    리포트 | 14페이지 | 무료 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 보수, NAND, NOR 보고서
    게이트와 반대로 부정 논리합을 구현한 디지털 논리 회로의 일종으로, 표준 논리 기호에 나타난 것처럼 두 개 이상의 입력과 하나의 출력으로 구성된다. ... NAND 정의AND 게이트와 반대로 부정 논리곱을 구현한 디지털 논리 회로의 일종으로, 표준 논리 기호에 나타난 것처럼 두 개 이상의 입력과 하나의 출력으로 구성된다. ... NOR 타입은 읽을 때나 쓸 때나 1비트씩이지만, NAND 타입은 예를 들어 블록당 셀 수가 4인 메모리라고 하면 읽을 때는 0111 1011 1101 1110 순으로 셀을 순차적으로
    리포트 | 7페이지 | 3,000원 | 등록일 2022.05.29
  • 마이크로프로세서에서 ATmega128의 용도와 사용방법을 설명하세요
    구체적으로 CPU가 직접 이해 할 수 있게 하기 위해 단계별로 실행과정을 나누어 처리를 위한 마이크로 코드를 작성 후 이 마이크로 코드에 의해 단계적으로 처리하는 논리회로이다. ... 연산들을 수행할 수 있는 회로들로 이루어진 하드웨어 모듈이다. ... 레지스터와 일반 목적용 레지스터로 레지스터들의 수가 제한되어 있다.다음으로 제어 유니트는 제어라는 말과 같이 프로그램 코드(명령어)를 해석하고 그것을 실행하기 위해 제어 신호등을 순차적으로
    리포트 | 4페이지 | 2,000원 | 등록일 2022.01.21
  • 병렬가산기 설계 결과보고서
    논리회로설계 실험 결과보고서 #3실험 3. 병렬가산기 설계1. ... 스키메틱에서는 전가산기를 논리기호로 표시함으로써 1개의 전가산기를 설계하였는데도 10개의 논리기호를 쉽게 만들어 설계가 되었다. ... 전가산기를 만든 후, 이것을 심볼로 처리해서 새로운 회로에 전가산기 10개를 이어서 만들었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • plc 리포트
    시퀀스 제어미리 정해진 순서, 또는 일정한 논리에 의해서 정해진 순서에 따라 제어의 각 단계를 순차적으로 진행해 가는 제어를 말한다.즉, 시퀀스 제어란 동작할 프로그램이 정해져 있고 ... 핵심 회로이다. ... 여기에는 주회로와 제어회로, 표시회로로 구성된다.주회로는 전원을 부하에 공급하기 위한 회로이며, 제어회로는 주회로의 개폐 및 표시회로의 동작 등의 모든 제어동작이 이루어지는 제어의
    리포트 | 16페이지 | 2,500원 | 등록일 2020.12.12
  • 논리회로실험 예비보고서6
    순서논리회로의 출력은 입력과 순차회로의 현재 상태에 관한 함수로 현재 상태는 기억소자에 의해 주어진다. ... -순서논리회로: 입력의 조합만으로는 출력이 정해지지 않는 논리 회로로, 현재의 내부 상태와 입력에 의해 출력의 상태가 정해지는 기억 작용이 있는 논리 회로이다. ... 실험에 대한 이론·조합논리회로와 순서논리회로의 비교-조합논리회로: 적어도 하나의 출력 채널과 2개 이상의 입력 채널을 가지며, 입출력 모두 이산 상태의 값을 가지고 있고, 각 출력채널의
    리포트 | 11페이지 | 1,500원 | 등록일 2020.09.18
  • 시립대 전전설2 Velilog 예비리포트 4주차
    두 수의 대소를 살피는 회로로, 논리회로를 조합시켜서 만든다. 2진수를 A,B로 할 때 한 자리의 경우는 그림의 회로로 판별할 수 있고, 자릿수가 많은 경우는 S1 또는 S2의 출력이 ... 나눗셈은 10진수의 나눗셈과 하는 방법이 같다.2) 연산회로 종류(1) 반가산기 : 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로.(2 ... 후에 논리 연산자를 사용하여 반감산기 코드를 완성시켰다. 감산기는 반감산기 2개로 이루어진 회로로써 바로 아래단의 비트에 빌려준 1을 고려하여 두 비트의 뺄셈을 한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    Circuit- Logic Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다. ... Arithmetic Logic Unit (ALU)- Arithmetic Logic Unit은 덧셈, 뺄셈 등의 산술 연산과 AND, OR 등의 논리 연산을 수행하는 회로를 의미한다. ... 컴퓨터 프로그램- 폰 노이만 구조 하에서 컴퓨터 프로그램이 실행되면 Memory Unit에 코드 및 데이터가 Load되고, 순차적인 Microoperation이 Control Unit
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 결과 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    하지만 논리회로보다 고려해야할 사항이 더 많아서 각각의 경우를 잘 알고 설계할 줄 알아야 오류없이 원하는 값을 얻을 수 있었다.4. ... 결론본 실험에서는 자일링스 프로그램을 통해 순차회로를 베릴로그 언어를 사용해 시뮬레이션을 진행하였다. ... 토의본 실험에서는 병렬입력/병렬출력, 4bit sipo, 카운터, 응용과제 등 여러 순차회로를 다루었다.
    리포트 | 25페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 실험23_계수기 회로_결과레포트
    물론 논리적인 참 거짓 판별에서는 문제가 없었으며, 2진 계수기로서 올바르게 설계되었음을 보였다. ... 구동 원리를 통하여, Clock의 주기적인 신호가 JK FF을 거치면서 주기가 2배씩 증가함을 알 수 있었다.이런 규칙성을 통해 각 JK FF가 연결된 순서를 순차적으로 나열하여 ... 실험이론⑴ 계수기 회로계수기는 JK flip-flop(이하 FF)의 toggle동작을 이용하여 입력되는 Clock의 수를 세는 디지털 회로이다.그림 23.1 2-bit 계수기계수기내의
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • (A+ 컴퓨터의이해) 마이크로프로세서 microprocessor에 대하여 설명하라.
    이러한 마이크로프로세서는 마이크로칩 또는 집적 회로로 구성된 컴퓨터 프로세서를 의미합니다. ... 명령어 세트는 산술 및 논리 연산, 데이터 이동 및 제어 흐름과 같이 마이크로프로세서가 수행할 수 있는 연산을 정의합니다.레지스터 파일: 데이터와 중간 결과를 저장하는 작고 빠른 메모리 ... 최신 마이크로프로세서에서 위에서 언급한 대부분의 구성 요소는 집적 회로로 제조되는 반도체 재료에 통합됩니다.주요 구성 요소 외에도 최신 마이크로프로세서에는 성능과 기능을 향상시키는
    방송통신대 | 12페이지 | 5,000원 | 등록일 2023.01.23
  • V. 제어기술 요약정리 및 예상문제
    논리합(OR) 연산: A와 B중 어느 한쪽이 성립할 때 S가 성립됨ABS00001110111125.시퀀스 회로(1) 자기 유지 회로: 푸쉬버튼 등의 순간동작으로 만들어진 입력신호가 ... 물리량의 상태를 바라는 목적에 맞는 상태로 하는 것.2.피드백 제어- 제어결과가 한바퀴 돌아서 다시 처음으로 돌아오는 제어계3,시퀀스 제어- 정해진 순서에 따라 제어의 각 단계가 순차적으로 ... (전원 off)- 1 : H레벨, 접점 CLOSE, 코일 여자(전원 on)23.논리적(AND) 연산: A와 B가 모두 성립할 때 S가 성립됨ABS*************4.
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.28
  • 정보처리기사요약(2.전자계산기구조)
    논리회로의 분류1) 조합논리회로(Combinational logic circuit)― 회로의 출력 값이 입력 값에 의해서만 정해지는 논리회로로서 기억능력이 없다. ... 논리회로로서 기억능력이 있다. ... 전자계산기구조제 1 장 논리회로(Logic Circuit)― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대