• 통큰쿠폰이벤트-통합
  • 통합검색(597)
  • 리포트(545)
  • 자기소개서(29)
  • 시험자료(14)
  • 방송통신대(5)
  • 논문(2)
  • 이력서(1)
  • ppt테마(1)

"hdl설계" 검색결과 241-260 / 597건

  • 시립대 전전설2 [3주차 결과] 레포트
    Purpose of this Lab이번 실험에서는 Verilog HDL 언어를 사용하여 논리회로를 설계하는 방법을 배운다. ... 전자전기컴퓨터설계실험 ⅡPost-report3주차: Logic Design using Verilog HDL1. Introduction (실험에 대한 소개)가. ... 비트 단위 연산자를 이용하는 실험과 Gate Primitive를 사용하는 방법, Behavioral modeling을 이용한 설계방법을 실험을 통해서 실시한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2019.07.29
  • 디시설 - 기본적인 디지털 논리회로 설계
    설계HDL 코드를 컴파일하고 시뮬레이션한 결과를 Schematic으로 설계했을 때와 비교하 라.- 2번에서 설계한 VHDL 코드를 컴파일하고 Vector Waveform File로 ... 새로운 프로젝트를 생성하고, 위에서 간소화된 논리식을 HDL로 코딩하라. 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다.3. ... 때와 HDL코드로 설계했을 때의 시뮬레이션 결과는 동일 하게 나왔으며, [표 3-6]에서 작성한 진리표의 F1, F2 값이 일치하였다.이러한 확인 결과를 거친 후에 준비된 키트에
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • verilog-디지털시계(Digital watch)A+자료 코드및 레포트
    목표: Verilog HDL을 이용하여 디지털 시계를 설계 한다.내용: 교재를 참고하여 디지털 시계를 완성 한다.- MSL (Master Selection Logic) 설계- DCL ... MSL 설계 20점 2. DCL 설계 20점 3. TL 설계 20점 4. AL 설계 20점 5. 7-Segment 출력 10점 6. ... (Digital Clock Logic) 설계 - TL (Timer Logic) 설계- AL (Alarm Logic) 설계- 7-Segment 출력 설계- 부가적인 기능 (Blink
    리포트 | 59페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 시립대 전전설2 [2주차 예비] 레포트
    전자전기컴퓨터설계실험 ⅡPre-report2주차: HDL을 사용한 디지털회로 설계 툴 (Xilinx ISE) 사용법1. Introduction (실험에 대한 소개)가. ... Purpose of this Lab이번 실험에서는 Verilog HDL 언어를 사용하여 논리회로를 설계하는 방법을 배운다. ... Essential Backgrounds for this LabXilinxintegrated software environment 통합 소프트웨어 환경 입니다. verilog HDL이나
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [9주차 결과] 레포트
    설계를 하였다.4. ... Purpose of this LabVerilog HDL 언어를 사용하여 character display를 위한 VFD장치 제어를 실험을 한다.나. ... 전자전기컴퓨터설계실험 ⅡPost-report9주차: Display Control1. Introduction (실험에 대한 소개)가.
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • [기초전자회로실험2] "FPGA Board를 이용한 FSM 회로의 구현" 결과보고서
    과정과 동일하게 4bit Up-Counter를 설계하고 이를 회로로 표현하고 회로의 구성 및 동작원리에 대해 먼저 알아본 이후 HDL로서 표현하고 FPGA에 프로그램을 하였다.HDL에서 ... 3bit Up-Counter를 여기표와 상태표를 기반으로 카르노 맵을 작성하고 부울식을 도출해 3bit Up-Counter의 회로를 구성해보았다.앞서 3bit Up-Counter를 설계하는
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 인하대 VLSI simple microprocess of design 레포트
    여기서 HDL설계 생산성을 높이기 위해서 보다 높은 개념화 단계에서 설계를 기술한다. ... 가장 대표적인 HDL은 베릴로그와 VHDL이다.회로 설계 (Circuit design)회로 설계는 특정한 논리 함수를 구현하기 위해서 트랜지스터들을 배열하는 과정이다. ... 이 설계 계층은 논리, 회로, 그리고 물리적 설계에서 반드시 같아야 할 필요는 없다.하드웨어 기술 언어 (Hardware Description Languages)설계자는 논리 설계
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.22 | 수정일 2020.08.19
  • [예비레포트] Verilog 언어를 이용한 쉬프트레지스터 설계
    실험 제목 : Verilog 언어를 이용한 쉬프트레지스터 설계실험 목표 1.Hardware Description Language(HDL)을 이해 하고 그 사용방법을 익힌다.2.Field ... Programmable Gate Array(FPGA) board 의 용도 및 기능을 파악하고 설계한 Digital IC 를 검증하는 방법을 익힌다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.04.06
  • 시립대 전전설2 [8주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... %201.pdf" http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part%201
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • Half Adder, Full Adder 설계
    4월 1일 실험 Half Adder, Full Adder 설계1. ... 반가산기(Half Adder) : Behavial Modeling① HDL 코드library IEEE;use IEEE.std_logic_1164.all;entity half_add
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.06
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [예비레포트]
    설계기술이나 공정과 무관한 설계낮은 설계 비용표준 HDL 및 사용자의 확대효율적인 설계관리Verilog HDL 문법 : 어휘규칙여백 : 어휘 토큰들을 분리하기 위해 사용되는 경우를 ... Essential Backgrounds (Required theory) for this LabVerilog HDL 문법 : HDL 기반 설계의 장점설계 시간의 단축설계의 질 향상특정 ... Verilog HDL 개요Verilog HDL의 모듈그림 SEQ 그림 \* ARABIC 4 Verilog HDL의 모듈그림 SEQ 그림 \* ARABIC 5 Verilog HDL
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습2 [결과레포트]
    설계기술이나 공정과 무관한 설계낮은 설계 비용표준 HDL 및 사용자의 확대효율적인 설계관리Verilog HDL 문법 : 어휘규칙여백 : 어휘 토큰들을 분리하기 위해 사용되는 경우를 ... Essential Backgrounds (Required theory) for this LabVerilog HDL 문법 : HDL 기반 설계의 장점설계 시간의 단축설계의 질 향상특정 ... Verilog HDL 개요Verilog HDL의 모듈그림 SEQ 그림 \* ARABIC 4 Verilog HDL의 모듈그림 SEQ 그림 \* ARABIC 5 Verilog HDL
    리포트 | 22페이지 | 1,000원 | 등록일 2017.10.19
  • [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    발열 및 사이즈 문제가 심각함테스트벤치(Test bench)테스트벤치는 HDL설계한 논리회로를 시뮬레이션 검증을 하기 위해 사용한다. ... 실험목적① Hardware Description Language(HDL)을 이해하고 그 사용방법을 익힌다.② Field Programmable Gate Array(FPGA) board의 ... 실험제목Verilog 언어를 이용한 Sequential Logic 설계2.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 중소기업을 겨냥한 전자공학전공생의 자소서
    특히 디지털시스템설계 과목을 수강하면서, 반도체칩 안에 들어가는 Verilog HDL이라는 언어를 접하게 되면서 반도체에 빠지게 되었습니다. ... 하드웨어와 관련된 반도체소자공학, 반도체 및 디스플레이, 디지털시스템설계 과목들을 들으며 Verilog HDL 언어도 공부했습니다. ... 그러면서 반도체, 특히 그 안에 들어가는 언어 Verilog HDL에 관심을 갖게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.05.14
  • vhdl MEMORY 설계
    [RTL 뷰어]RTL뷰어를 통해 D-F/F가 1개 있는 것을 확인하였다.ROM 설계오른쪽 그림과 같은 4Byte(8bit)의 ROM을 설계입력? CLK : 읽기 기준 동기 클럭? ... [RTL 뷰어]D/F-F은 variable 변수로 인해하나만 생성된 것을 확인RAM 설계오른쪽 그림과 같은 쓰기 포트와 읽기 포트가 분리된8byte Dual Port RAM 설계? ... VHDLMEMORY 설계-6차-Contents01실습목적02이론03실습내용 및 실습결과-Signal-Variable-ROM-RAM04실습소감01 실습목적Signal과 Variable의
    리포트 | 10페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.13
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    최소의 지연시간 Modelsim 으로 확인하려 했지만 , 에로사항 ( 소스 에러 ) 으로 실패참고문헌 ( Verilog_HDL 을 이용한 ) FPGA 설계 이론 및 실습 : Xilinx ... Vertex4 SoC Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로 설계 / 이승호 / 한티미디어 ... 이론적 배경 ( 설계 /Tool) Part 4 . 설계 및 결과 Part 5 . 제한요소에 대한 고찰 Part 6.
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • 시립대 전전설2 [8주차 예비] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 전자전기컴퓨터설계실험 Ⅱpre-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... 실험을 통해 구하고자 하는 데이터와 이를 획득하기 위한 실험 순서1) Static 7-Segment 컨트롤러 설계a) 구하고자 하는 데이터CODEUCF 파일b) 실험 순서프로젝트 생성
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 예비보고서
    합성은 HDL을 이용한 설계에서 가장 중요한 과정이다.? ... 발열 및 사이즈 문제가 심각함- module모듈(module)은 Verilog HDL에서 시스템을 표현하는 기본 구성요소입니다. ... 간편하게 설계한 로직을 반복적으로 이식할 수 있다? 빠르게 시장에 내다 팔 수 있다. (ASIC 대비)?
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • VerilogHDL을 이용한 야구게임
    이에 따라 디지털 설계 영역에서도 프로그래밍 언어와 같이 디지털 회로를 표현하는 표준 언어가 필요하게 되었고 이로 인해 HDL이 개발되었다. ... 우리는 이번 학기동안 Verilog HDL이라는 언어를 배웠으며 기본적인 디지털 하드웨어 시스템의 설계방법 및 합성에 대한 수업을 들었다. ... 그동안 일일이 손으로 설계를 하여 브레드 보드에 직접 필요한 부품들을 장착하는 번거로운 작업들을 통해 확인이 가능했던 회로들을 HLD과 DE2 보드를 이용하여 손쉽게 설계하고 확인할
    리포트 | 7페이지 | 1,500원 | 등록일 2008.01.08
  • [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    실험제목Verilog 언어를 이용한 Sequential Logic 설계2. ... Flip-Flop에서 입력에 따라 나타내는 상태에 대해 학습하고 CLK에 따라 ‘High’ or ‘Low’ 상태에서 트리거 됨을 구분하고 이에 따라 Q의 값의 변화에 대해 탐구하였다.HDL에서 ... 1Result report Electronic Engineering기초전자회로실험Verilog 언어를 이용한 Sequential Logic 설계자료는 실제 실험을 바탕으로 작성되었으며
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대