• 통큰쿠폰이벤트-통합
  • 통합검색(21,013)
  • 리포트(19,155)
  • 시험자료(1,078)
  • 방송통신대(396)
  • 자기소개서(216)
  • 논문(83)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 281-300 / 21,013건

  • 기초전자회로실험 예비보고서 - n-bit 이진가산기
    이진 가산기: 가장 낮은 비트(LSB:least Significant Bit)의 가산기에는 반가산기를,나머지 상위 비트 계산 부분에는 전가산기를 구성하여 n-Bit 이진 가산기를 ... 차이점을 확인한다.4.4 3-Bit 이진 가산기 설계4.2와 4.3절에서 구성한 회로를 활용하여 3-Bit 이진 가산기를 구성한다. ... 구성할 수 있다.LSB에 관해서는 왼쪽과 같이MSB의 반대로서 표현할 수 있다.즉, LSB를 반가산기로하고 나머지 비트계산 부분을 n-bit이진 가산기로 구성할 수 있다고 생각하면
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 시립대 전전설2 Velilog 결과리포트 4주차
    비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.(4) 감산기 : 두 개의 input이 A와 B일 때, A-B는 A+(-B)와 같다. ... 작으면 alb가 1이 되는, 즉 두 입력의 값들의 관계를 나타내는 회로이다. 1 Bit 비교기와 4 Bits 비교기 모두 gate primitive모델링 방법을 사용하였다. 4비트 ... 목적배경 이론실험 장비시뮬레이션 결과와 실험 결과의 비교1Bit Subtractor4bit Subtractor1Bit Comparator4Bit Comparator코드 분석 및 고찰결론참고
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    먼저 한자리 수 가산기를 작성하였는데, 그에 해당되는 bcd는 4bit 2진수이다. ... 각 비트마다 8,4,2,1의 숫자가 대응 되서 한자리 수를 표현하는데, 다른 방법으로는 4,2,2,1 또는 7,4,2,1 등이 있다. ... 그 후 이 4비트 2진수의 표기를 10진수로 변경하였다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 논리회로 실험 6주차 ALU 예비보고서
    실험 목적4-bit 논리연산장치 (ALU: Arithmetic Logic Unit)에 대해 이해한다.2. ... 실험 준비4. 실험 기기 및 부품5. 주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1. ... 어떤 값 A와 B를 비교하여 A가 B보다 크다 또는 작다와 같은 계산도 그림 5-4와 같은 회로에서 연산할 수 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 시립대 전전설2 Velilog 예비리포트 3주차
    - code시뮬레이션 결과4) 4bit Full Adder –4 1bit Full Adder (Behavioral Modeling)code시뮬레이션 결과참고 문헌전전설 교안 ... Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling)4bit Full Adder –4 1bit Full ... Full Adder –Gate Primitive Modeling1bit Full Adder –Behavioral Modeling4bit Full Adder – 2 1bit Full
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 전자전기컴퓨터설계실험2(전전설2) (4) Arithmetic Logic and Comparator
    [사진 3] 4비트 RCA 회로도2.2. 4-bit Comparator크기 비교기는 입력되는 두 수 A, B의 크기를 비교하여 어느 수가 큰지(또는 같은지)를 출력으로 나타내주는 조합 ... Full Adder (33)3.3. 4-bit Adder (44)3.4. 4-bit Comparator (47)Ⅲ. 결론 (53)Ⅳ. 참고문헌 (54)1Ⅰ. 서론11. ... Full Adder (14)2.3. 4-bit Adder (21)2.4. 4-bit Comparator (28)3. 실험 결과 (29)3.1. Half Adder (29)3.2.
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 논리회로실험) 7 segment 프로젝트 1 예비
    앞에서 입력한 8개의 8bit 값들이 각 대응하는 출력 값 3bit로 잘 출력이 되었음을 Wave Form의 형태로 확인 할 수 있다.4. ... 8비트의 입력 값을 100ns의 간격을 주어 출력되도록 설정하였다.4) Wave Form? ... 많이 사용되며, 일부는 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다.(5) BCD to 7 segment Decoder-BCD-to-7세그먼트 디코더는 4비트
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 부경대 디지털 회로 3장 과제
    예제 3-24. 4-비트 리플 캐리 가산기의 계층적 VHDL-- 4-bit Adder: Hierarchical Dataflow/Structural-- (See Figures 3-42 ... 외부 게이트들로 된 부울 함수를 구현하라.F(A,B,C,D)= sum _{} ^{} m(1,3,4,11,12,13,14,15)문제풀이>입력 A, B를 선택선들과 연결하라. 4개의 ... 이러한 변수들의 값은 AB가 00, 01, 10, 11일 때 4가지 경우를 위해 각각 C, D의 함수 F로 표현함으로써 얻어진다.
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 서강대학교 디지털논리회로실험 레포트 5주차
    이전 장에서 배운 exclusive-OR의 동작은 1-bit comparator로 해석할 수 있다.그림 1은 4-bit 이진수를 비교할 수 있는 comparator이다. ... 따라서 이는 2-bit full-adder로 볼 수 있을 것이다.DIP_SW4가 1일 때는 B가 역시 DIP_SW4와 XOR gate에서 연산을 하며 들어가는데, DIP_SW4가 1이므로 ... 그림에서 각 소자들은 비교하는 두 수와 함께 직렬 연결을 위한 신호들의 입력과 출력을 포함한다.그림 SEQ 그림 \* ARABIC 1. 74x85 4-bit comparator그림
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • BCD 가산기 설계 결과보고서
    설계는 두 입력을 4비트 가산기로 더한 후, 결과를 다시 BCD로 변환하는 과정을 구성한다.2. 설계된 BCD 가산기를 컴파일, 시물레이션하라. ... 결과10(0XA)1011(0XB)1112(0XC)1213(0XD)1314(0XE)1415(0XF)1516(0X10)1617(0X11)1718(0X12)18[표 3-31]연습문제1. 4비트 ... 부호비트를 뺀 나머지 비트에 대해 2의보수를 취한다.3.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 어셈블리언어(시스템프로그래밍) 과제-1 (간단한 어셈블리 언어 프로그램 작성)
    또한 1번의 실행파일인 test.exe의 크기는 22,016인데 반해 arrayTest.exe의 크기는 12,288인 것을 확인할 수 있다. 4. ... 결과 저장용 32비트 변수 z를 함께 정의하시오. ... 프로그램 작업 디렉토리가 c:\asm인 경우C> notepad test.asm (또는 다른 편집기 사용가능)(4) 이 파일에 다음 식을 계산하여 최종 결과를 EAX에 저장하는 어셈블리
    리포트 | 10페이지 | 3,000원 | 등록일 2021.11.24 | 수정일 2023.07.10
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다.위의 그림 (a)에서 빨간색 박스를 HP라고 하고, 처음에는 multiplier를 저장하다가 곱셈결과의 아래쪽 ... Shift register를 이용한 multiplier 설계이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... 그렇다면 곱셈의 과정은 LP/MPLY의 LSB와 MCND를 논리적으로 곱한 값과 HP를 곱해서 5-bit F(4:0)를 연산한 후에, F(4:1)은 HP로 load시키고, F(0)는
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로실험 순차회로 설계
    또한 D FF를 사용해서 4bit 병렬 레지스터와 시프트 레지스터를 설계하였다. ... 4bit 시프트 레지스터는 SISO(shift input shift output)의 형태로 4개의 D FF을 사용해 작성하였다.2) 테스트 벤치? ... 또한 병렬 레지스터의 회로도를 직접 그려보고 4 bit 시프트 레지스터를 설계함으로써 레지스터의 구조와 설계를 터득한다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 논리회로(생능출판, 김종현) 2단원 정리
    비트가 ‘1’로 세트 됨(3) 84-2-1코드- 우측의 두 비트가 음의 자릿수를 가지는 가중치 코드 방식- 비트들은 좌측부터 각각 8, 4, -2, -1의 자릿수를 가짐(4) ... (bit)를 사용함- 수가 아닌 정보(문자, 특수문자 등)를 표현하는 경우에도 여러 개의 비트들을 이용함- n개의 비트들을 이용하여 나타낼 수 있는 정수의 범위: 0~(- 10진수를 ... 위치에 따른 자릿수를 가지는 가중치 코드 방식- 4비트로 구성되고, 맨 좌측 비트부터 우측으로 가면서 각각 2, 4, 2, 1의 자릿수를 가짐- 2421 코드에서는 자릿수 2를 가지는
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • [전자공학심화융합설계] A+SAR ADC 레포트
    -과정 4.이제 다음 비트는01 01…1111이런식으로 전체 비트수 만큼 클럭을 진행하면 모든 비트를 결정한다.-과정 마지막. ... 최상위 비트가 0으로 결정되면 다음 비트를 결정한다.0 011…1111다음 비트는 다시 1/2의 분해능으로 결정한다. 전체의 1/4 로 다시 0과1을 결정한다. ... 마지막 비트까지 결정되면 EOC에 신호를 설정하여 외부에 변환되었음을 알린다.SAR ADC 의 Resolution- 8 Bits 의 해상도를 갖은 ADC 는 256(=28) 가지의
    리포트 | 2페이지 | 1,500원 | 등록일 2020.04.09 | 수정일 2020.08.26
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서9 4bitadder
    NAND-NAND) 또는 ORAND(NOR-NOR)로직 회로를 설계한다.D XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.E 설계한 회로중 하나를 선택하여 2Bit ... 공리 3 : OR은 두 입력중 하나가 1이면 출력이 1이 되는 논리함수이다.4. 공리 4 : 1의 보수는 0이고 0의 보수는 1이다.다) 부울 대수의 기본 정리1. ... 보수법칙/멱등법칙이 성립한다 : A+A=A/A+A’=1.4.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.08
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건codeCombo box 실험결과(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 ... 점을 포함하기 위해선 8bit로 맨 뒤에 점을 의미하는 부분을 추가하여 1을 입력하면 된다.실험4) FND arrayFND array이는 single FND가 4개가 붙어있는 형태이다
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 예비보고서(3)-Shift_Register-시프트레지스터
    데이터가 완전히 저장된 후 한 비트씩 출력된다.다음 그림 4는 4-비트 병렬 입력/직렬 출력 시프트 레지스터의 논리기호를 나타낸다.이 레지스터는 4개의 데이터 입력선D _{0}D _ ... 데이터 입력에 4-비트 1010 중에서 LSB 비트인 0이 입력되면 FF0 D=0DL 된다. ... 데이터가 일단 저장되면 각 비트는 직렬 출력에서와 같이 한 비트씩 출력되는 것이 아니고, 모든 비트들이 동시에 출력선에 나타난다.그림3은 4-비트 직렬 입력/병렬 출력 레지스터와 그것의
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    수 없는 값)(3비트 2진수 01x)d. 4’h4- 4(4비트 16진수 4)(4) Verilog에서 wire 형과 reg 형의 차이점을 조사하시오.a. wire형- net 자료형으로 ... 표현했을 때 어떻게 나타나는지 조사하시오.a. 4’b1001- 9(4비트 2진수 1001)b. 5’D3- 3(5비트 10진수 3)c. 3’b01x- 2 or 3(마지막 비트는 알 ... .- 1993년에 보완되었고, 주로 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산기 모델링 예 (Bit operator 사용)- 1-bit 반가산기 모델링
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    대하여 조사하시오. 4-bit Carry Look Ahead 구조도 4-bit Carry Look Ahead 회로도- 덧셈은 정보처리의 기본중에 기본이기 때문에 고속 정보처리를 위해서 ... 이는 2N에 해당하는 회로 지연을 가지며, AND, OR 게이트로 구성된 가산기의 경우 3N에 해당하는 회로 지연을 갖는다.(7) 4-bit Carry Look Ahead의 회로 구조에 ... 프로그래밍에 앞서, 칩은 "1"값을 갖는 비트만을 갖는다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대