• 통큰쿠폰이벤트-통합
  • 통합검색(21,013)
  • 리포트(19,155)
  • 시험자료(1,078)
  • 방송통신대(396)
  • 자기소개서(216)
  • 논문(83)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 241-260 / 21,013건

  • [정보통신실습] 4-bit 2진 가감산기 회로 조립 및 측정 작업
    ▶ 작품명 : 4-bit 2진 가감산기 회로 조립 및 측정 작업▶ 학습 목표?IC 7483 동작을 이해하고 설명할 수 있다.?가산기/감산기를 조립하고 동작할 수 있다.? ... 그림 1-6에 4비트 가감산기 회로를 나타내었다. ... 그림 1-4와 그림 1-5에 반감산기, 전감산기에 대한 회로를 나타내었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2004.05.26
  • [집적회로] smart dpice를 이용한 4bit RCA와 CLA의 비교
    Smart Spice를 이용한 4-bit RCA와 4-bit CLA의 비교Part I. 4-bit-RCADesign all the cells useful for the RCA, Draw ... Explain the input pattern of your simulation가장 많은 gate를 거쳐서 발생되는 C4를 나타내기 위해 최하위 비트에서 생성된 Carry로부터 최상위 ... RCA 의 delay timePart II. 4-bit-CLAThe following shows a block diagram of CLA8.
    리포트 | 13페이지 | 1,000원 | 등록일 2002.02.20
  • [전자계산기 설계] 4bit carry look ahead adder(verilog)
    module carry_lookahead_4bit_adder(a,b,c0,s,c4);input [3:0] a,b;input c0;output [3:0] s;output c4;4bit
    리포트 | 1페이지 | 1,000원 | 등록일 2001.11.17
  • Full adder VHDL 실습보고서(전가산기)
    Full Adder(4비트 전가산기)그림 2. 4bit full_adder논리회로도앞서 이야기 했던, Full Adder를 비트수만큼 직렬로 이어붙인 4bit Full Adder이다 ... 1.목적(Purpose)이번실습에서는 4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다. ... 그리고 자리올림은 Carryout(co)로 표현되며, 4bit adder에 가서는 한 비트에서 발생한 자리올림이 그 다음 비트연산의 Carryin으로 들어가게 된다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 디지털시스템설계실습_HW_WEEK9
    또, 4비트와 32비트의 citical path delay를 보면 4비트일 때는 6.672ns였는데, 32비트에서 7.416ns로 된 것을 보면 bit가 커질수록 critical path ... citical path delay를 계산해보는 시간이었다.파라미터의 숫자를 바꿔줌으로써 n-bit의 cla adder가 되는 것을 통해 간단하게 큰 비트의 adder가 생성되는 것을 ... • Discussion이번 실습은 N-bit CLA Adder를 만들고 RTL schemic와 Syntheis schemic를 비교하고 각각의 n-bit cla adder의
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.11
  • [논리설계] 연습문제 2장 풀이
    RREPORT논리설계연습문제 2장 풀이본 레포트는 참고 자료입니다.수정없이 제출하거나 판매 및무단배포 등의 행위를 금합니다.연습문제 2장2.15 - 4비트 길이(1) 1의 보수: 1100 ... 2의 보수: 1110 0000(4) 1의 보수: 1000 0001 / 2의 보수: 0111 11102.20 - 2의 보수 덧셈으로 산술 연산(5비트)(1) 5 + 7 = 011005 ... E5 20 32 372.30 - 0110에 대한 해밍 코드: 0 1 1 0 0 1 12.31 - 8비트 컴퓨터에서 해밍 코드 사용중이고 저장할 데이터가 10010100(1) 검사 비트
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 전기및디지털회로실험 실험6 예비보고서
    반가산기는 2진수로 나타낸 수들을 1비트씩 합하여 그 결과로 1비트의 합과 1비트의 자리올림(carry)을 발생하는 회로이지만, 일정한 수의 비트로 나타낸 수의 가산은 불가능하며 자리올림은 ... 받아 올림수(C)의 합(S)과 새로운 올림수 두 개의 출력을 출력하는 가산, 즉 두 비트를 더하여 합과 올림수를 만들어내는 회로로 2진 비트를 쓰는 컴퓨터 회로이다. ... 직렬 가산기는 n비트의 2진수 가산을 수행할 경우 최소 유효 비트로부터 순차적으로 더해가는 가산 방식을 채택한 가산 회로 장치이며, 조합 논리 회로로서 가산 결과를 기억할 수 없으므로
    리포트 | 16페이지 | 1,000원 | 등록일 2023.06.30
  • 서강대학교 21년도 디지털논리회로실험 5주차 결과레포트 (A+자료) - Half-Adder, Full-Adder, 2's complement
    XOR은 1-bit의 comparator라고 해석할 수 있다.위의 74x85 소자는 4-bit 이진수 둘을 비교할 수 있는 comparator이다. ... 하지만 4-bit보다 더 큰 수를 비교하기 위해서는 comparator들을 반복적으로 연결해야 할 것이다. ... 이전 4bit의 비교결과가 다음 bit에도 전달되어서 비교를 하는 것이다. 74x85의 경우 회로 연결을 위한 신호들 사이의 관계는AGTBOUT = (A>B) + (A=B)*AGTBINAEQBOUT
    리포트 | 29페이지 | 2,000원 | 등록일 2022.09.18
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    8~+7을 벗어나면 계산 결과값을 4-BIT로 표현할 수 없고 이 경우를 정수 오버플로우라고 한다. 3번째 비트에서의 CARRY와 4번째 비트에서의 CARRY를 XOR로 묶으면 오버플로우가 ... 이용하여4bit인 이진수로 표현되는 정수 A, B (A: A4 A3 A2 A1 B: B4 B3 B2 B1) 두 비트를 더하거나 빼는 것이 가능한 4-bit 가감산기를 설계하였다.2x1 ... bit 가감산기를 설계하시오.4-BIT Adder Subtractor 심볼4-BIT Adder Subtractor 심볼위 과정(1)에서 생성한 전가산기 4개와 2x1 MUX 4개를
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 충북대 디지털시스템설계 결과보고서2
    출력값을 나타낸다.4-bit Multiplier 코드input은 곱할 4-bit 수인 m과 q이고 output은 두 4-bit의 곱이므로 8-bit p로 설정한다. wire는 c1 ... 4-bit q의 0번 bit를, and2는 q의 1번 bit를, and3는 q의 2번 bit를, and4는 q의 3번 bit를 각각 곱한 것이다. ... , c2, c3과 4-bit s1, s2, s3, and1, and2, and3, and4이다. assign 문을 통해 and1 ~ and4를 기술하였는데, and1은 4-bit m에
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 시립대 전전설2 Velilog 결과리포트 3주차
    4bit Full Adder ? ... 2 1bit Full Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling)4) 4bit Full Adder ... Behavioral Modeling3) 4bit Full Adder ?
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    이를 4bit adder(74283) 2 개를 이용하여 구현한 8bit BCD to Binary 를 통해 binary 로 변환시켜 2 진수 표현 입력 스위치에는 풀업 저항을 사용PSPICE ... 곱셈기 ( 승산기 ) : 2 진수 곱셈 방식과 구현 4. 나눗셈기 ( 제산기 ) : 2 진수 나눗셈 방식과 구현 5. ... 출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    가산기1) Behavioral level modeling: if 문 사용4bit_Full_adder4bit_Full_adder test bench4bit_full_adder simulation4bit_full_adder ... )4bit_Full_adder4bit_Full_adder test bench4bit_full_adder simulation2) combo box를 통한 동작 결과입력a=0111 b= ... Signed는 음수를 처리하기 위해 1비트를 부호비트로 사용하므로 실질적으로 수를 저장할 수 있는 비트 수는 7비트이다.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 컴퓨터 구조론 연습문제 풀이 5장 11,13,14번
    16-비트 CPU에 2MByte RAM, 1MByte ROM이기 때문에 1M X 4비트 RAM칩은 1M X 16비트 칩으로 병렬연결 하는데 4개가 필요하고 2M X 16비트 칩으로 ... 4K X 8비트 ROM 칩들과 네 개의 2K X 8비트 RAM칩들을 이용하여 기억장치시스템을 구성하고자 한다. ... 사용가능한 칩들이 1M X 4비트 RAM칩들과 512K X 8비트 ROM 칩들이라고 할 때, 다음 물음에 답하라.(1) RAM과 ROM칩들이 각각 몇 개씩 필요한가?
    시험자료 | 5페이지 | 2,000원 | 등록일 2020.05.18
  • pipeline 8bit CLA 설계 프로젝트 A+ 자료
    C의 값은 0~6까지 총 7bit만 필요하다.4. ... 구현⓵ D_FF_1bit . vhd1비트를 저장시켜주는 1bit D-FlipFlop이다.⓶ D_FF_2bit . vhd2비트를 저장시켜주는 1bit D-FlipFlop이다. ... 따라서 1bit와 2bit를 각각 저장시켜줄 수 있는 D-FlipFlop을 각각 만든다.⓷ PGU . vhd8bit의 A와 B를 2bit씩 나누어 PGU에 들어가게 된 다.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    실험 과정 및 예상하는 이론적인 실험 결과4.1 기본 실험(1) [그림 1]과 같이 D Flip-flop을 이용하여 4-bit Serial-in Parallel-out 시프트 레지스터를 ... 이런 식으로 클럭이 상승할 때 D의 값이 받아져 �궜玭� 이동하게 되고 �궜榜� 버림으로써 우측 시프트가 된다.4.2 응용 실험(1) [그림 2]와 같이 4-bit 존슨 카운터를 구현하시오 ... 각각의 비트를 입력하기 위해서는 1개의 클럭 주기를 필요로 하기 때문에 4비트 수를 레지스터에 시프트하기 위해서는 4개의 클럭주기를 가진다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    설계방법 설계한 16-bit adder는 add16을 root module로 하고, 4개의 sub-module인 add4로 구성되어 있다. ... 각 add4 module은 2개의 sub-module인 add2로 구성되어 있고, 각 add2는 2개의 sub-module인 fa(1bit full adder)로 구성되어 있다. add16 ... 모듈의 Hierarchical structure는 이와 같고, sub module들은 개별 file(*.v)로 저장했다. 16-bit adder의 입력은 16 bit augend
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 과제
    4-bit Adder 회로 설계 과제1.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.02
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 5주차 예비+결과(코드포함) Combinational_Logic_Design_II Decoder, Encoder and MUX
    결과의 정확성 검증은 결론에서 다룰 예정이다.2.4:1 MUX 예비보고서에서는 2-bits 4:1 MUX를 설계했으나, 1-bit 4:1 MUX로 시뮬레이션을 다시 수행하였다.코드는 ... Fig.2.와 같이 구성하였는데 인풋의 비트만 바뀌었다. ... 인풋 I0, I1이 2비트이고, S는 0또는 1이며 S의 값에 따라 Z가 결정된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 서울시립대 전자전기설계2(전전설2) 4주차 결과보고서
    4비트 가산기가 정상적으로 작동함을 알 수 있다.4. ... 응용과제(4-bit Comparator 설계, 2의 보수 입력)응용과제는 음수도 나타낼 수 있는 2의 보수를 이용하여 2개의 입력값을 받아 서로 비교하여 수의 상대적 크기의 상태를 ... 실습3(4비트 가산기 행위수준 모델링 사용 설계)실습3에서는 4비트의 입력값을 받는 4비트 가산기를 설계하는 것이다.행위수준 모델링을 사용하여 2개의 코드를 작성하였는데, 하나는 always와
    리포트 | 11페이지 | 1,500원 | 등록일 2019.10.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대