• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,205)
  • 리포트(19,321)
  • 시험자료(1,087)
  • 방송통신대(402)
  • 자기소개서(217)
  • 논문(93)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 161-180 / 21,205건

  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습10-(4-bit Adder 회로 설계)
    실습 목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.이론부 요약부울 대수 : 논리학을 수학적으로 해석하기 위해 고안 되었으며 변수, 상수, 연산자, 기본 공리 및 정리로 구성된다. 0과 1로 표현하며 변수는 일반적으로 A, B,..
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.17
  • 설계실습 10. 4-bit Adder 회로 설계 예비
    설계실습 10. 4-bit Adder 회로 설계1. 목 적조합 논리회로의 설계 방법을 이해하고 조합 논리회로의 한 예로 가산기 회로를 설계한다.2. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라.(5) 4-bit Adder 회로를 위의 전가산기 회로를 이용해 설계하여라. ... bit binary adder(74LS83) 1개- LED 10개- Toggle Switch 15개- 점퍼선 다수3.
    리포트 | 3페이지 | 1,500원 | 등록일 2010.11.12
  • 설계실습 10. 4-bit Adder 회로 설계 결과
    설계실습 10. 4-bit Adder 회로 설계1. ... 측정된 전압이 토글 스위치와 LED 값과 일치하는지 확인하여라.ABCinSCout0000.18V0.18V004.64V2.54V0.17V04.64V02.55V0.17V04.63V4.63V0.18V2.63V4.64V002.49V0.17V4.64V04.64V0.18V2.63V4.63V4.63V00.18V2.57V4.42V4.42V4.42V2.53V2.55V ... 측정된 전압이 토글 스위치와 LED 값과 일치하는지 확인하여라.ABCinSCout0000.18V0.17V004.63V2.77V0.17V04.64V02.78V0.17V04.64V4.64V0.19V2.87V4.64V002.78V0.17V4.64V04.64V0.19V2.87V4.63V4.63V00.18V2.79V4.42V4.42V4.42V2.71V2.77V
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • verilog code - (combo kit) 4bit 2진 덧셈기를 led로 출력
    , 그리고 tb_kit_4adder_led 테스트벤치를 수정하였다. ... key matrix module을 지운 kit_4adder_led(combo1 kit에 맞춘 code)▶ 수정된 keyin 모듈 코드 (원래의 코드는 주석으로 처리)▶ 수정된 kit ... _4adder_led 모듈 코드※ 코드를 수정하는 이유이 전에 코딩했던 모듈들은 keypad의 입력을 scan하여 keypad 값을 알아내야 하는 comboⅡ에 맞도록 설계하였다.입력
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 아주대 논리회로 김영진교수님 - 프로젝트(4비트 2의 보수회로)
    ⦁따라서 과제를 해결하기 위해선 다음과 같이 순차적으로 진행해야 된다. 4비트 2의 보수회로에 대한 회로에 대한 진리표를 작성한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2013.03.04
  • verilog를 이용한 부호있는 4bit 곱셈기(multiplier) 설계 및 분석
    받는 4bit registerreg [4:0] addout; //4bit adder에서 덧셈 연산 후 나온 결과reg [3:0] comout; //보수기에서 보수 연산 후 나온 결과reg ... signed_multiplier_4x4(clk, st, mcand, mplier, product, done);input clk, st;input [3:0] mcand; //4bit인 ... multiplicandinput [3:0] mplier; //4bit인 multiplieroutput [6:0] product; // multiplicand와 multiplier의
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.18
  • [Verilog] 프로세서 구조 4bit Ripple Adder & 4-to-1 Multiplexer (4비트 리플 애더, 4-to-1 멀티플렉서)
    4bit Ripple Adder - 회로도, 소스, 진리표, 타이밍다이어그램4-to-1-Line Multiplexer - 회로도, 소스, 진리표, 타이밍다이어그램
    리포트 | 2페이지 | 1,000원 | 등록일 2010.06.12
  • 마이크로프로세서 4비트 설계(맥스플러스)
    수행한다. 4BIT ALU 이므로 4번의 연산을 수행하고 결과값 또한 4개가 나와 4비트의 연술 및 논리 연산이 가능하다.산술논리연산장치 ALU 설계1비트 전가산기 설계와 4 X ... 1 Multiplexer)4×1 Multiplexer 심볼 및 시뮬레이션1 Bit ALU 설계1 Bit ALU 심볼 및 시뮬레이션4 Bit ALU 회로4 Bit ALU 심볼4 Bit ... 부분곱들이 생성되고 이를 보두 더해주면 최종합을 얻을 수가 있다.4비트 2진 곱셈기의 논리연산 블록도4비트 2진 곱셈기의 회로도4비트 2진 곱셈기 컴파일중 오류발생산술논리연산장치(
    리포트 | 22페이지 | 3,000원 | 등록일 2008.01.15
  • [Flowrian] 4-Bit Magnitude Comparator (TTL 7485) 회로의 Verilog 설계 및 검증
    단지 4비트의 정수 비교에만 사용하지 않고 하단의 비교 결과와 연동하여 더 많은 비트의 정수를 비교할 수 있도록 확장이 가능하도록 설계되었다. ... -본 회로는 2개의 4빈트 정수의 크기를 비교하여 어느 것이 더 큰지 혹은 같은지를 알려주는 비교기 회로이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2012.05.09
  • 블록문이 있는 네스티드 if문 설계, 2X4 디코더 설계, 4비트 단위의 2x1 데이터 셀렉터 설계
    통신회로 및 실습과제 [5] 블록문이 있는 네스티드 if문 설계, 2X4 디코더 설계, 4비트 단위의 2x1 데이터 셀렉터 설계하기정보통신공학과2010160101 윤희진2013.05.211 ... Module-Synthesize – XST-Verilog Test Fixture-Behavioral Check Syntax – Simulate Behavioral Model-실행결과< 4비트 ... 소스작성-Verilog Module-Synthesize – XST-Verilog Test Fixture-실행결과< if문을 사용한 2X4 디코더 설계>1.소스 작성- Verilog
    리포트 | 5페이지 | 3,000원 | 등록일 2014.07.11
  • RADIX - 4 BOOTH ENCODE wallace tree 20bit multipiler
    2]); booth U03_BOOTH ( mz3, a, b[ 6: 4]); ... wire [19: 0] wa, wb; wire [39: 1] wz; wire [21: 0] mz0, mz1, mz2, mz3, mz4, ... ( mz0, a, {b[0],2`b00} ); booth U01_BOOTH ( mz1, a, b[ 2: 0]); booth U02_BOOTH ( mz2, a, b[ 4:
    리포트 | 7페이지 | 2,500원 | 등록일 2010.08.02
  • [Flowrian] 4 Bit Binary Up/Down Counter (TTL 74193) 회로의 Verilog 설계 및 검증
    동작사양본 회로는 4비트 이진수를 증가하는 방향으로 혹은 감소하는 방향으로 카운팅하는 카운터회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2012.09.29
  • 4bit circular shift register (Xillinx, Verilog, 소스코드, 파형포함)
    HW5정보통신공학부■ Code / 시뮬레이션 파형`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company:// Enginee..
    리포트 | 6페이지 | 1,500원 | 등록일 2012.04.02
  • MY CAD TOOL을 이용한 4BIT FULL ADDER 설계
    MUX Layout15(1) Multiplexer 정의 15(2) Multiplexer 원리 15(3) 1 BIT MUX의 설계16(4) 3 BIT MUX의 설계17Ⅴ. 4BIT FULL ... 설계 과정20(1) XOR 의 설계20(2) AND 설계 21(3) Carry 연산 설계22(4) Full-Adder 설계 233. 4-bit Full Adder25Ⅵ. ... 여기서 멀티플랙서는 S의 값에 따라 선택적으로 결과를 바꿀 수 있다는 것을 알 수 있다.(4) 3 BIT MUX의 설계-> 30.947.5 의 넓이를 얻었다.3BIT MUX의 설계는
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.18
  • [전기.전자] 4Bit 가산기 발표자료(ppt), 구현동영상
    프로젝트 결정과정회로도 결정과정 분.초 단위의 디지털 시계 7-Segment를 이용한 덧셈기 LED를 이용한 4bit 가산기작동원리74LS00 회로와 Switch를 통해서 임의의 클럭을 ... R9(1), R9(2)는 출력을 1001(9) 상태로 한는 프리셋 단자이다. 74LS90의 출력 Qd, Qc, Qb, Qa 는 74LS83의 B4, B3, B2, B1의
    리포트 | 16페이지 | 3,000원 | 등록일 2011.07.17
  • FPGA를 이용한 디지털 시스템 설계(인하대) Booth algorithm, 16bit multiplier (problem 4-21, 4-22 중간고사 코딩) 보고서
    실험과정 및 소스코드.우리가 설계하고자 하는 것은 8bit Booth algorithm multiplier이다.8비트의 수를 곱하는 것이므로 승수 Mplier와 피승수 Mcand를 ... FPGA를 이용한 디지털시스템 설계 REPORTProblems 4-21, 4-22설계Problems 4-21. Booth Algorithm1 - 1. ... 8비트로 선언한다. 8비트의 두 수를 곱하게 되면 결과는 15비트가 나오므로 곱의 결과 Product는 15비트로 선언한다.그 다음에 parameter구문을 이용하여 상태를 저장하는
    리포트 | 19페이지 | 2,500원 | 등록일 2014.11.27 | 수정일 2015.10.02
  • UP-DOWN COUNTER(4-bit) 설계
    UP-DOWN COUNTER(4-bit) 설계용어 설명용어조건기능ud1up-counter0down-counterovf15→01 (15에서)udf0→151 (0에서)우선순위에 따른 기능rstenloadud기능1XXXreset00XX현재 ... (ovf, udf도 포함)// Time을 1ns의 단위와 1ps의 정확도로 정의// 입, 출력연결포트 선언// 위에서 만든 main module과의 입, 출력포트 연결// 4초가 주기인 ... up되고, 다시 0으로 돌아오면서 ovf가 1을 표시.2. ud=0으로 바뀌면서 down-counter로 바뀜.3. 0까지 down되고, 다시 F으로 돌아오면서 udf가 1을 표시.4.
    리포트 | 5페이지 | 1,500원 | 등록일 2008.09.03
  • carry look ahead 방식의 이해를 통해서 4bit adder의 설계
    의 수 X, Y지정output [3:0] S; // 4비트의 수 S라는 출력값 지정wire [3:0] temp, Y; // 4비트의 temp, Y의 wire 지정fulladd F1 ... s; // s라는 sum을 의미하는 4bit 입력값 설정output c4; // c4라는 출력값 설정wire [3:0] p,g; // p, g라는 4bit wire 설정wire p0c0 ... 4bit_adder라는 모듈 설정input [3:0] a,b; // a, b라는 4bit 입력값 설정input c0; // 초기 carry c0라는 입력값 설정output [3:0]
    리포트 | 9페이지 | 5,000원 | 등록일 2011.06.08 | 수정일 2015.06.21
  • 디지털 설계과제 4-bit 계산기 구현
    II-1. 프로젝트 개발 목적1. 개발 목적강의시간에 배운 내용을 실제 구현함으로써 학습 효과를 높인다. 반가산기, 전가산기, 전감산기의 구성 및 동작 원리를 이해한다. MAX+plus II의 사용법을 익히고 실제로 구현해 본다.우리사회는 이제 디지털 정보사회로 성숙되..
    리포트 | 28페이지 | 4,000원 | 등록일 2009.04.09 | 수정일 2017.03.31
  • [Flowrian] 4-Bit Binary Full Adder (TTL 7483)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7483회로에 대한 문서에는 게이트들로 구성된 조합논리회로도가 제공되지만 본 문서에서는 레지스터 전송 수준(RTL, Register Transfer Leverl)에서 동일한 논리를 구현하도록 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.05.05
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대