• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,205)
  • 리포트(19,321)
  • 시험자료(1,087)
  • 방송통신대(402)
  • 자기소개서(217)
  • 논문(93)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 201-220 / 21,205건

  • [디지털공학] 4bit 카운터
    데이터 비트가 총 4비트이므로 0~ 15까지의 count가 가능하며, 15가 넘어갈 경우 0부터 다시 count 한다. ... 실험 순서 및 결론이번 실습은 4Bit counter를 Behavioral coding 하는 것이었는데, Behavioral 방식을 써서 게이트를 표시할 필요 없이 간단히 할 수 있었다 ... 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 0 0 04-bit
    리포트 | 4페이지 | 1,000원 | 등록일 2003.04.30
  • [공학]실험 6. 4비트 산술논리회로와 시뮬레이션
    BXOR111xF = A보수이 회로는 S2=0 일 때, S1, S0, Cin의 값에 따라 4비트 산술연산회로로써 작동하고, S2=1 일 때는 4비트 논리연산회로로써 작동한다. 4비트 ... 결과처럼 4비트 범위 내의 계산값들은 Cout 없이 알맞은 결과가 나왔으며, 위의 12+7=19와 같이 4비트의 범위를 넘어서는 값은 Cout 값이 나와줌으로써 올바른 결과값을 갖는다 ... 4 - 7을 1의 보수법과 2의 보수법에 의해 4비트의 2진수 뺄셈 계산을 해보겠다.㈎ 1의 보수에 의한 방법왼쪽 끝자리 올림수가 있으면 최하위 비트에 1을 더한다.7 0111-
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.20
  • [마이크로 컨트롤러]LCD(4비트제어방식)레포트
    9.1 4비트 제어방식의 LCD 표시◀LCD 구동부 회로도◀ LCD 프로그램「※ 9장에서 공통적으로 사용하는 프로그램을 헤더파일로 만들고, 컴파일 할 때 이들 헤더 파일을 첨부하게
    리포트 | 2페이지 | 1,000원 | 등록일 2006.02.20
  • 진보영일기와 전가산기를 이용한 4-bit 가감산기 설계 제안서 및 설계 결과 보고서
    이 소자는 실제 진보영일기로서 C와 B의 제어입력과 4bit의 입력과 4bit의 출력을 가지게 된다.6. 7483 소자의 선정 - 전가산기인 7483의 실제 소자인 74LS83을 사용한다 ... 이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다. ... Ⅲ 설계의 사전 조사1. 7487의 구조 - 7487은 4bit의 진-보-영-일기로서 입력 B와 C로서 제어가 되며 A의 입력으로 Y의 출력을 내보내는 가감산기 회로이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 실험 6. 4-bit 산술논리회로와 시뮬레이션
    실험 6. 4-bit 산술논리회로와 시뮬레이션목적1. ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.2. MyCAD의 사용법을 익힌다.3. ... 의 4비트 산술 연산회로의 동작을 설명하시오.선택 단자 ... MyCAD를 이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.원리1.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.12.20
  • 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    관련 기술 및 이론(1) 4 bit 전가산기(Full-Adder)2진 병렬 가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림과 같이 전가산기들을 ... 관련 기술 및 이론(3) 4bit 전감산기(Full-Subtractor) 4bit 전가산기와 유사하지만 입력값 B에 not을 취하고 처음 캐리에 1을 넣어준다는 점에서 다르다. 2진수의 ... 설계 내용 및 방법FullAdder_4 코드(4bit 전가산기 코드) Entity FullAdder_4 is -- Entity로 FullAdder_4를 선언 port (A : in
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • 결과보고서 // 5.멀티플렉서, 인코더 및 디코더 6.2진4비트 가산기
    1MUX에서도 한개의 출력을 내기 위한 방법을 익힐 수 있었다.실섬 3에서 2to4디코더를 설계하여 n개의 입력에 따라 2n개중 하나의 출력을 내는 기능을 구현하였다. ... 하나의 출력을 내는점에서는 디코더와 먹스가 비슷한 기능이라고 할 수 있을 것 같다.그리고 실험4에서는 MUX를 이용하여 주어진 논리함수식을 간단하게 설계할 수 있었다. ... Select신호의 입력에 따라 여러개의 입력중 하나의 값을 출력하는 것이다.2:1MUX에서는 Select 신호가 0일때와 1일때 각각 A나 B의 값이 나오는것을 확인하였고 마찬가지로 4:
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • verilog에서 half adder를 이용하여 4bit full adder 를 만드는 프로그램입니다.
    Reporthalf adder 를 이용한4bit full adder교 과 목 :분 반 :교 수 :학 부 :학 번 :이 름 ://------------HALF ADDER-------- ... .in1(wire1), .in2(c_in), .sum(sum), .c_out(wire3));assign c_out = wire2 | wire3;endmodule//---------4bit ... FULL ADDER---------------;module four_bit_adder(x, y, c_in, sum, c_out);input[3:0] x;input[3:0] y;input
    리포트 | 2페이지 | 1,000원 | 등록일 2007.10.13
  • 논리회로) 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기2. ... 실험 목적 - 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기를 직접 설계한다.3. ... 최상위 bit는 부호를 표시한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • [마이크로컴퓨터]마이크로컴퓨터시스템 4비트 ALU의 디자인
    ◎ 4 Bit ALU ◎C0 S2 (ENCODER)ENCODER S1SO2 TO 1A0 MUX F0FA 4 TO 1MUXBOA1FA2 TO 14 TO 1 MUX F1B1 MUXA2FAB22 ... 따라서 전체에서 사용된 IC 소자는 다음과 같다.I C 명 칭I C 번 호수 량비 고NAND740012AL 4개, LU 8개Dual Full Adder741832Dual 4-to-1 ... 그림은 AND, OR, NOT, EOR을 4개의 NAND 게이트로 구성되어 있는 7400 IC 회로 2개로구성을 한 것이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2006.04.08
  • [컴퓨터 구조] VHDL을 이용한 4bit ALU 설계
    본론1) 4-bit Arithmetic Logic Shift Unit의 설계(1) 4-bit ALU의 구성(블록도)1) 4-bit arithmetic circuit2) 4-bit logic ... circuit3) 4 to 1 MUX(2) 4-bit ALU의 기능1) 4-bit arithmetic circuit의 기능2) 4-bit logic circuit의 기능3) 4 to ... 본론1) 4-bit Arithmetic Logic Shift Unit의 설계(1) 4-bit ALU의 구성(블록도){그림 4-bit ALU-. 4bit ALU는 위의 블록도와 같은
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.01
  • [정보통신실기] 4bit 가감산기
    예비보고서4bit- 2진 가감산기덧셈 연산은 4개의 연산, 즉 0+0=1,0+1=1,1+0=0,1+1=10이 가능하다. ... 따라서, 합 비트가 출력될 수 있도록 생성되어야 한다. 4비트 덧셈기는 표준 구성요소의 대표적인 예이다.4.캐리의전파2진수를 병렬로 더한다는 것은 피가수와 가수의 모든 비트를 동시에 ... 이는 가가의 덧셈기에서 출려된 캐리를 다음 단의 덧셈기의 입력 캐리에 연속적으로 덧셈기의 입력 캐리에 연속적으로 연결함으로써 덧셈기를 구현할 수 있다.그림 4는 4비트 2진식 리플
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.12
  • ALU구조와기능이해,MyCAD 를 이용하여 4비트 ALU를 설계하고 시뮬레이션(예비보고서)
    4bit로 연산이 되며 바로 1비트 가산기에 입력된다. ... 시뮬레이션 결과 및 심볼을 프린팅하시오. 2) 의 4비트 산술 연산회로의 동작을 설명하시오.는 4비트 산술 연산회로로서 입력인 Cin값과 A0, A1, A2, A3의 값들이 입력으로써 ... 와 같이 심볼화 하라.2)3)4)5) 실험 1과 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.
    리포트 | 5페이지 | 5,200원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • [전자회로] 4bit alu
    입력을 a_alu, 두 번째 4bit 입력을 b_alu, function 지정을 control로-- 출력 4bit를 c_alu 로 선언하고 형식은 길이가4인 vector 형으로 변수 ... cin_alu : in std_logic;c_alu : out std_logic_vector(3 downto 0);cout_alu : out std_logic);end alu;-- 첫번째 4bit ... =>y5);m0 : mux4 port map(i0=>t0,i1=>t1,i2=>t2,i3=>t3,i4=>t4,i5=>t5,i6=>t6,i7=>t7,i8=>t8,i9=>t9, j0=>y0
    리포트 | 17페이지 | 1,000원 | 등록일 2001.12.10
  • [전자, 시스템칩설계]verilog를 이용한 4bit Full adder
    . 4. 7.4bit Full adder1. ... bit중 최하위 비트(m[0], n[0])의 덧셈 연산에서는 하위 단에서 발생하는 carry에 대해 고려할 필요가 없으므로 입력단자가 2개인 half adder를 사용하였고, 그 다음 ... 이때 발생되는 캐리는 다음 연산에 쓰이는 전가산기의 input carry로 wire n2를 통해 셋째 단 z 단자에 입력된다. 3,4번째 비트의 연산도 마찬가지로 m[2]+n[2]=
    리포트 | 6페이지 | 1,000원 | 등록일 2006.06.26
  • [컴퓨터구조론] C++을 이용한 4비트 데이터 해밍코드 소스
    C++을 이용한 4비트 데이터의 오류를 검출하는 간단한 해밍코드 소스입니다#소스일부int main(){ int select = 0; while ( 1 ) ... { printf("4bit Hamming Code Generate & Testn"); printf("What do you want to do
    리포트 | 4페이지 | 1,000원 | 등록일 2007.08.29
  • [논리회로]동기식 카운터 설계(4비트)
    순차 회로 설계(4비트 동기식 카운터)[목적]1. 4비트 동기식 카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현. ... Circuit design으로 설계할 수 있다. 4비트 동기식 카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기식 카운터를 의미한다. 4비트 동기식 카운터의 계수 순서는 ... [기본이론]카운터는 순차회로들 중에서 가장 간단한 회로이다. 4비트 동기식 카운터 설계의 순서는 1. State Diagram, 2. Transition table, 3.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.09.18
  • FPGA 디지털 시스템 설계 : 4bit Shift Register 설계 및 Gated D Latch, D F/F, Reset D F/F, JK F/F 분석
    Shift Register 모듈 설계4bit Shift Register는 4개의 D F/F를 직렬로 배치하여 같은 클럭에 따라 신호를 옮기는 역할을 한다. ... 4bit Shift Register 설계 및Gated D Latch, D F/F, Reset D F/F, JK F/F 분석1. ... shift Register 파형 분석q1, q2, q3은 모듈 내부에만 존재하기 때문에 Testbench에서 생성한 4bit Shift Register인 sr4의 q1, q2, q3의
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • [정보통신] 4bit 비동기식 카운터
    library ieee;use ieee.std_logic_1164.all;entity count_16_as isport (clk : in std_logic; count_out : out std_logic_vector(3 downto 0));end count_1..
    리포트 | 2페이지 | 1,000원 | 등록일 2004.06.25
  • max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계# JK FlipFlop(( Graphic Editor))((Waveform Editor ))# D FlipFlop
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대