• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,205)
  • 리포트(19,321)
  • 시험자료(1,087)
  • 방송통신대(402)
  • 자기소개서(217)
  • 논문(93)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 141-160 / 21,205건

  • 74LS93 4-비트 비동기 10진 카운터
    74LS93 4-비트 비동기 10진 카운터1. ... 4-비트 비동기 10진 카운터의 동작원리를 이해한다.3. ... 실험 목적① 74LS93 4-비트 비동기 10진 카운터를 직접 구성 해본다.② 74LS93 4-비트 비동기 10진 카운터의 카운터 계수 동작표와 타이밍도를 작성해본다.③ 74LS93
    리포트 | 3페이지 | 1,000원 | 등록일 2013.04.21
  • [Flowrian] Dual 4 Bit Decade Counter (TTL 7469)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7469 회로는 독립적으로 동작할 수 있는 16진 카운터가 2개 구현되어 있다. 하나의 16진 카운터는 2진 카운터와 8진 카운터로 나누어져 있어 따로 동작시킬 수 있다.- TTL 7469 회로에는 2진 카운터, 8진 카운터, 그리고 16진 카운터로 구성된다..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.06
  • 4bit up/down counter
    edge trigger로 동작하고, 4bit counter는 이 출력을 입력으로 받는다. ... 4bit up/down counter[회로도][시뮬레이션 결과][결과 분석]처음 MUX에서의 출력은 S신호가 0⇒B, 1⇒A가 출력되고, 그 출력을 입력으로 받는 MUX_FF는 rising
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.03
  • [Flowrian] Dual 4 Bit Decade Counter (TTL 7468)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7468 회로는 독립적으로 동작할 수 있는 10진 카운터가 2개 구현되어 있다. 하나의 10진 카운터는 2진 카운터와 5진 카운터로 나누어져 있어 따로 동작시킬 수 있다. - TTL 7468 회로에는 아래 그림과 같이 2진 카운터, 5진 카운터, 그리고 10..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.04.30
  • 4비트 덧셈기
    1. 4비트 덧셈기 회로도2. 재료 목록pinpinhole3. ... 입력으로 보내진다.7483 IC는 7486 IC가 보내온 출력 A와 7490이 보내온 출력 B를 가산하는 역할을 한다.가산하여 CARRY가 발생하면 14번 핀으로 보내져 생략되고 각 비트에서 ... 각각 가산된∑4∑3∑2∑1값은 출력되어 7447 IC로 보내진다.( A4A3A2A1+ B4B3B2B1CARRY ∑4∑3∑2∑1 )7447 IC는 7483IC에서 가산된 값을 받아
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.24
  • 병렬로드를 가진 4비트 시프트 레지스터
    = q4;I1_4 = q3;I2_4 = SI2;I3_4 = I3;a = ! ... I1_4, I2_4, I3_4;int a, b, c, d;int q1, q2, q3, q4;int SI1, SI2;int I0, I1, I2, I3;int D1,D2,D3,D4,A0 ... if(Y==c) {D4=c;} else if(Y==d) {D4=d;}delay (1000);q1=D1;q2=D2;q3=D3;q4=D4;A0=q1;A1=q2;A2=q3;A3=q4;printf
    리포트 | 1,000원 | 등록일 2009.10.15
  • 4비트 전가산기 감산기 설계
    bit 전가산기 2 개의 4 비트 무부호화 2 진수와 캐리 (carry) 입력을 더하여 4 비트 합과 캐리 출력을 갖는 덧셈기 . ... 1 4bit 전감산기 예제4 장 . ... 관련 기술 및 이론 2 의 보수를 이용한 4 bit 감산기 감산기는 바로 앞의 낮은 단 위치의 디지트에 빌려준 1 을 고려하면서 두 비트의 뺄셈을 수행하는 조합회로라 할 수 있다 .
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • 2의 보수 연산을 이용한 4비트(bit) 감산기
    감산기 및 감산기 테이블입니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.01.06
  • [A+자료] 논리회로 4비트 가감산기 설계 입니다.
    REPORT( 4비트 가감산기 설계 )4비트 가감산기1. 목적FA 4개를 직렬로 연결하여 4-bit 가감산기를 설계한다. ... 설계를 통하여 가감산기 입력에 따른 출력 특성을 이해할 수 있다.2. 4비트 가감산기 논리회로설계3. ... VHDL을 이용한 4비트 가감산기1) 소스entity fouurbit_lsi isPort ( C0 : in STD_LOGIC;A : in STD_LOGIC_VECTOR(4 downto
    리포트 | 4페이지 | 3,000원 | 등록일 2012.06.17
  • 디지털실험 - 4비트 전감가산기 설계 결과레포트
    4비트 전감가산기-설계결과-2조 2008065321권태영1. ... 실험 결과 사진4비트 전감가산기 회로도SAnBnCn-1SnCn0011010SAnBnCn-1SnCn0100010왼쪽 표와 같을 때의 결과값 사진들SAnBnCn-1SnCn1001101왼쪽 ... 표와 같을 때의 결과값 사진들SAnBnCn-1SnCn1101111왼쪽 표와 같을 때의 결과값 사진들왼쪽 표와 같을 때의 결과값 사진들☞ 비고 및 고찰이번 실험은 4비트 전감가산기
    리포트 | 6페이지 | 1,000원 | 등록일 2012.03.09
  • 4bit adder, 7segment decoder 디지털회로실험보고서
    이루어 (A3A2A1A0/B3B2B1B0) 이 두수의 합을 4bit adder 설계 후 그 합을 7Segment에 표시한다.adder 마지막단의 Carry는 다이오드에 연결하여 4bit의 ... adder와 7Segment decoder를 이용한 4bit와 4bit 두 수의합을 7Segment에 표현 하는 실험이였다.디지털논리 첫 번째 실험에서 하나하나 연결했던 전선이나 ... 4bit adder, 7segmet decoder실험보고서Subjectː디 지 털 회 로 실 험ProfMajorStudent No.NameDate실험이론8개의 스위치를 4개씩 한 조를
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • 설계실습 10. 4-bit Adder 회로 설계
    11. 12설계실습 10. 4-bit Adder 회로 설계아날로그 및 디지털 회로1목 차1부울 대수2디지털 시스템34-bit Adder (74LS83)4설계실습 계획2부울 대수부울 ... 입력의 논리 레벨에 따라 출력 결정10Undefined44-bit Adder (74LS83)4-bit Adder (74LS83)설계실습 계획(1) 전가산기(Full Adder)에 대한 ... XOR gate를 이용하여 보다 간소화9설계실습 계획(4) XOR gate를 이용하여 보다 간소화10설계실습 계획(5) 4-bit Adder 회로를 설계11감사합니다.12{nameOfApplication
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.12
  • VHDL을 활용한 4비트 Ripple Carry Adder(덧셈기) 프로젝트
    선언port(subtract : in std_logic; --(-) 연산이 가능하도록 설정해주는 입력값x,y : in std_logic_vector (3 downto 0); --4bit ... (1) 1bit-RCA Truth TablexiyicisiCout0*************00110110010101011100111111(2) SOPs canonical form① ... downto 0); -- 1bit 간의 연결 신호signal y_complement : std_logic_vector(3 downto 0); -- 보수 신호beginC(0)
    리포트 | 7페이지 | 1,000원 | 등록일 2012.03.20
  • 디지털실험 - 4비트 전감가산기 설계 예비레포트
    4비트 전감가산기-설계예비-2조 2008065321권태영1. 설계 이론ALU는 산술 연산회로와 논리 연산회로로 나누어진다. ... 논리 연산은 선택단자 S1과 S0의 값에 의해 AND, OR, XOR, 보수 등의 기능을 수행한다.※ 전가산기, 전감산기(진리표, 논리식, 회로도)- 전가산기- 전감산기※ 4bit-adder
    리포트 | 4페이지 | 1,000원 | 등록일 2012.03.09
  • 4 Bit Ripple Carry Adder 제작 (VHDL)
    ◆ Title : 4 Bit Ripple Carry Adder 제작◆ VHDL Code-- ::: micro_01.vhd :::- component 를 이용하여 하나의 1비트 full ... 이번 과제는 4비트 전가산기를 제작하는 것 이었다. 4비트 전가산기를 제작하기 위해, 먼저 1비트 전가산기 하나를 미리 제작해 놓고, component를 이용하여 각 핀을 서로 이어 ... OR, AND, OR 게이트를 사용하여 Full Adder 제작.◆ Schematic Still Image- 4개의 full adder 사용.- 인풋은 4비트 짜리 InA, InB
    리포트 | 4페이지 | 1,000원 | 등록일 2008.12.26
  • [Flowrian] 4 Bit Binary Counter (TTL 74163) 회로의 Verilog 설계 및 검증
    본 회로는 4 비트 바이너리 카운터 회로 (TTL 74163) 으로서 0에서 15 까지의 이진수를 순차적으로 세는 카운터이다. ... 리셋을 포함하여 모든 신호는 클럭의 상승에지에 동기되어 동작하며, 4 비트 데이터를 병렬로 입력하는 기능, 2개의 카운터 인에이블 단자, 카운터 최대값 15에 도달했음을 알려주는 단자
    리포트 | 6페이지 | 1,000원 | 등록일 2012.08.27
  • [예비]4-bit Adder 회로 설계
    XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계하여라.(5) 4-비트 가산기 회로를 위의 전가산기 회로를 이용해 설계하여라.(4)번에서 구성했던 전가신기를 ... 이용 4-bit의 연산을 수행하기 위해서 한 bit당 한 개의전가산기를 사용하므로 전가산기 4개를 연결해준다.처음 전가산기는 올림이 없으므로 처음의 Cin은 접지하여준다. ... 설계실습 10. 4-bit Adder 회로 설계1. 목적조합논리회로의 설계 방법을 이해하고, 조합논리 회로의 한 예로 가산기 회로를 설계한다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.16
  • 4-bit Shift Resister
    4비트 쉬프트 레지스터 입니다..MAX+plus II 로 만들었습니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2006.09.19 | 수정일 2016.05.18
  • Flowrian Tool을 이용한 4bit counter 설계
    여기서 out은 8bit의 출력 단으로 각 counter에서 4개씩을 가져가다 만들었다. 4bit 짜리 counter가 만들어지면 위와 같은 설계를 통해 8bit, 12bit, 16bit등 ... Cascade testing 결과위를 보면 간단히 파악할 수 있듯이 기본적인 동작은 4bit counter와 동일하다 한 가지 다른 점은 위와 15bit에서 끝나는 것이 아니라 2^ ... Out은 4bits 출력 단으로 각각 D-flipflop에서 가져오는 것으로 구현하였다. 그래서 아래와 같은 결과를 갖는 시뮬레이션을 수행 할 수 있었다.2.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.09.01
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습10-(4-bit Adder 회로 설계)
    (A) 본 설계실습에서 무엇을 하였으며 그 결과는 어떤가? 수치를 포함하여 요약한다. 본 실험은 조합논리회로의 설계방법을 기본으로 조합논리회로를 설계하는 것을 기본으로한다. 결과 값은 0.2%이하의 오차를 기록하며 예상 값과 매우 일치하게 나왔다.(B) 설계실습계획서에..
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.17
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대