• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(21,205)
  • 리포트(19,321)
  • 시험자료(1,087)
  • 방송통신대(402)
  • 자기소개서(217)
  • 논문(93)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4비트" 검색결과 121-140 / 21,205건

  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... Adder (김정수)"이라는 문구가 좌에서 우로 이동하면서 디스플레이 된다.▪ 98와 76키패드 눌렀을 때의 결과를 Simulation을 이용하여 확인한다. ...module kit_4adder_vfd ... bcd10s;wire bcd100s;wire k_clk;...■ ModelSim-Altera를 이용한 타이밍 시뮬레이션`timescale 1ns / 1nsmodule tb_kit_4adder_vfd
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 4bit adder의 설계
    adder의 RTL Schematic인터넷으로 찾아본 4bit adder의 실제 그림4bit adder의 설계4bit adder의 RTL Schematictb_adder 파일생성 ( ... VLSI CAD 특론학과정보통신공학과학번이름4bit adder의 설계1. half adder 설계half adder의 RTL Schematic2. full adder의 설계full
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.03
  • 4bit binary up/down counter
    *Digital Design Term Project4 Bit Binary Up/Down CounterContents - Structural Description State Diagram ... Self-stopping counter 4-bit binary up/down counter(Fig 6-13) is infinitely circulating counter. ... This IC is very similar to 4-bit binary up/down counte Synchronous Up/Down counters with Down/Up mode
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.19
  • 아주대 OOO 교수님 논리회로 과제 4비트 9의 보수 회로
    1. 개요 - 입력에 따른 D3D2D1D0의 진리표를 작성해서 D3D2D1D0를 SOP로 Minimal Sum을 만든다 - 한 자리 십진수이므로 십진수 9까지만 입력이 유효하다고 보고 십진수 10부터 입력을 받으면 출력을 Don't care로 나타낸다. ..
    리포트 | 14페이지 | 5,000원 | 등록일 2015.03.12
  • 4bit Full Adder (4비트 전가산기 구현) Verilog Design
    ★ FA( Full Adder : 전가산기 )반가산기는 2진수의 한 자릿수만 계산할 수 있다.n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하는데
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12 | 수정일 2020.09.10
  • 논리회로설계실험 1bit 비교기, 4bits 비교기
    < 1bit 비교기, 4bits 비교기>1. ... HDL 코드 1) 1bit 비교기 : Behavioral Modeling동작 : 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로.1비트 비교기는 두 입력이 같으면 ‘1’ ... onebit isbeginprocess(a,b)beginif a=b theneq
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • xilinx를 이용하여 4bitsadder 와 4bits comparator 만들기
    실험결과73.1. 4bits adder83.2. 4bits comparator103.3. 4bits adder dataflow114. 결과토의135. ... Comparator- 4bits comparator vdhl 코드- 4bits comparator 의 testbenchsimulationa : 0100b: 0100eq : 1입력 ... Design 8-bit comparator using 4-bit comparatorVHDL 코드Testbench 코드simulation 결과1)functional simulation2
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.07
  • [Flowrian] 4 Bit Shift Register (TTL 7495)의 Verilog 설계 및 시뮬레이션 검증
    4비트 데이터를 출력 Q0 -> Q1 -> Q2 -> Q3 방향으로 시프트 시킨다. ... - TTL 7495 회로는 4 비트의 데이터를 입력받아 한쪽 방향으로 시프트 시키는 레지스터 이다.- TTL 7495 회로는 아래 기능표와 같이 동작한다.* 입력 S='1' 이면 클럭 ... CP2의 하강에지에 동기되어 외부에서 제공되는 4비트 데이터를 입력 받아 레지스터에 로드하는 동작이다.* 입력 S='0' 이면 클럭 CP1의 하강에지에 동기되어 레지스터에 저장된
    리포트 | 7페이지 | 1,000원 | 등록일 2014.05.28
  • 4비트 가감산기 설계 및 타이밍도 확인
    1.두 개의 이진 4비트 수를 더하고 빼는 가감산기 회로를 그리시오.2.
    리포트 | 3페이지 | 3,000원 | 등록일 2011.05.22
  • 4bit carry look ahead adder
    위와 같은 로직다이어그램을, 부울리안 방정식으로 표현하게 되면 아래와 같이 된다.4bit CLA이므로, 0bit부터 3bit까지의 각 비트 마다의 방정식은, -0비트에서, -1비트에서 ... -2비트에서-3비트에서 [2] VHDL 코딩 및 테스트벤치 코딩library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit isPort ( ... 설계4비트 CLA adder의 로직 다이어그램은 아래와 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.06.11
  • 실험2 제04주 Lab02 Post 4 Bit Full Adder
    산출Carrybit을 포함하여 Input과 더하는 논리회로⦁ 4-bit Full adder1-bit Full adder 4개를 이어 만든 회로이며 A[3:0], B[3:0]의 4-bit ... 이어 Lab 3에서 1-bit Full adder의 Symbol 4개를 이용하여 4-bit Ripple carry Full adder를 제작하였다. ... 그리고 IMPACT를 통해 설계한 4-bit Full adder를 HBE Combo Ⅱ-SE에 Program을 주입시켜주었다. >< 이어서, 제작한 4-bit Full adder가
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험2 제04주 Lab02 Pre 4 Bit Full Adder
    포함하여 Input과 더하는 논리회로4-bit Full adder1-bit Full adder 4개를 이어 만든 회로이며 A[3:0], B[3:0]의 4-bit 수를 더하는 회로로써 ... Predata of this Lab1) Lab 1 of Half adder2) Lab 2 of 1-bit Full adder3) Lab 3 of 4-bit Full adder4. ... 실생활에서 쓰이는 모든 것은 기본적으로 32bit, 요즈음에는 더욱더 큰 bit들의 기기가 사용되므로 1-bit 이상에서의 설계 및 제작을 알 수 있는 실험이다. 4-bit Ripple
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 4 bit BCD adder.subtraction
    부호를 갖는 4 bit BCD adder/subtraction을 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all ... ;architecture test of BCD issignal c:std_logic_vector(4 downto 0);signal k:std_logic;beginc ... ;entity BCD isport ( a, b:in std_logic_vector(3 downto 0);s:out std_logic_vector(4 downto 0));end BCD
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 실험2 제08주 Lab06 Pre 4 Bit Shift Register
    이어서 Lab 2에서 만든 4-bit Shift Register Included Enable의 기본 동작은 4-bit Shift Register와 같다. ... Predata of this Lab1) Lab 1 of 4-bit Shift Register2) Lab 2 of 4-bit Shift Register Included One-Shot ... Predata of this Labs1) Lab 1 of 4-bit Shift Register< 4-bit Shift Register Verilog Code >< Testbench
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험2 제08주 Lab06 Post 4 Bit Shift Register
    Result of this Labs1) Measured data and description of Lab 1 (4-bit Shift Register)< 4-bit Shift Register를 ... bit Shift Register Included One Shot Enable)< 4-bit Shift Register를 Logic circuit에 따라 Behavioral Modeling으로 ... description of Lab 2(4-bit Shift Register Included One Shot Enable)3.
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 4bit Full adder Verilog구현
    [3:0] s;//결과값 4bit soutput c_out;//더해진 후 생성되는 carrywire c1,c2,c3;//1bit full-adder을 불러올 것이므로, 각각 비트의 ... , 위의 진리표에서 작성한 1bit full-adder를 4개 연결하였다.입력출력블록간 연결4bit a4bit b1bit c_in4bit s1bit c_outFA0에서의 c1(c_out1 ... carry를 wire로 정의.FA f0(a[0],b[0],c_in,s[0],c1);//각각 비트 연산-FA module 호출.FA f1(a[1],b[1],c1,s[1],c2);FA
    리포트 | 4페이지 | 1,500원 | 등록일 2009.04.21
  • 4bit감산기 Verilog구현
    (HW1과 블록도 일치, 단, 입력값 b에는 not게이트가 각 FA마다 연결되어 있음)입력출력블록간 연결4bit a4bit b1bit c_in(1값으로 고정)4bit s1bit c_outFA0에서의 ... 동일, 단, c_in은 1값 고정)⇒c_in은 1bit register, a,b는 4bit register 로 설정.c_out은 1bit wire, s는 4bit wire로 설정하였다 ... :0] a,b;//4bit 입력 두 수input c_in;//c_in = 1로 고정될 수(stimulus모듈에서)output [3:0] s;/결과값 4bit soutput c_out
    리포트 | 4페이지 | 2,000원 | 등록일 2009.04.21
  • [디시설] 4bit Shift Reg & Counter 전북대 vhdl quartus
    ※ 8-bit 과제를 수행함에 있어 어려움이 있어서 4-bit로 과제를 수행하였습니다. ... 가지고 증가하는 것을 볼 수 있다.4. 4-Bit Synchronous CounterTestBench를 만드는데 어려움이 있어 과제 수행에 실패하였습니다. ... 추후 더 공부한 뒤 8-bit로 과제를 다시 해보도록 하겠습니다. 1. 4-bit Universal Shift Register - Structurals0과 s1에 의하여 입력 값이
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • VHDL - 4bit 가산기를 이용한 BCD adder, 4bit 가산기를 이용하지않은 BCD adder
    4bit 가산기를 이용한 BCD adder소스 분석우선 4bit가산기를 이용하여 두 개의 입력값(a,b)을 더하게 됩니다. process문을 이용하여더한값이 9를 넘어가게 되면 즉, ... 그리고, 첫 번째 자리의 BCD값은 4bit 가산기를 이용하여 6을 더한 값을 넣게 됩니다. 9가 넘는숫자라면 6을 더하게 되면 4bit가산기에서는 최대 표현가능 숫자가 15까지 이기 ... 발생하는 carry는 버리고, 남은자리만 표현하게 구현하였습니다.4bit가산기를 이용하지 않는 BCD 가산기소스 분석4bit가산기를 이용하지 않고 BCD adder를 설계할 때 결과적으로
    리포트 | 3페이지 | 3,500원 | 등록일 2011.11.24
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:47 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대