• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(516)
  • 시험자료(19)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(1)

"8*1 mux" 검색결과 281-300 / 544건

  • 예비04_Multiplexer Demultiplexer
    {data input 개수}개 이며 효율성을 위해 data input은 2,4,8,16개를 주로 사용하고 그에 따라서 select input은 각각 1,2,3,4개를 사용한다. data ... 예비학습(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask ... buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 2-State(2상태) 출력을 갖는다.
    리포트 | 5페이지 | 2,500원 | 등록일 2010.10.19
  • 전력전자 Inverter(인버터) Simulink구현 프로젝트
    주었기 때문에, 계산해보면, 대략 = 8.3 에서 =16.6으로 0.6초 이후에 증가시킨 것이다. ... 통한입력 3상과톱니파의 파형 도시화2) [Sub-Block 2] Voltage Regulator 블록실제로 MUX를 통해서 받아온 반송파와 기준파의 비교를 통해서 SF1과 SF2를 ... 가 변하면서 출력(전류, 전압) 값의 크기를 조절해줄 수 있는데, 이를 Iin으로 비교해보면, 다음과 같다.=0.9일 때Iin(입력전류)=0.8일 때Iin(입력전류)=0.7일 때Iin
    리포트 | 14페이지 | 2,500원 | 등록일 2012.02.13
  • 통신실험 예비 7
    8. ... Connect the oscilloscope to the base band output (MUX). ... Repeat step 8, for the channel separation (Right).10. Make the folly?
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • 디지털공학실험 12장 멀티플렉서를 이용한 조합논리 (예비)
    다른 방법은 ‘관련 이론’에서 보인 방식으로 8:1MUX 하나를 사용하는 것이다. 보고서의 표 12-1에 비교기에 대한 미완 상태의 진리표를 나타내었다. ... 그림 12-1(B)는 오버플로우 감지기에 대해 이러한 아이디어를 개념적으로 보여주고 있다.실제적으로는 오버플로우 감지 논리를 구현하는데 8-입력 MUX가 필요하지는 앟는다. ... 이 회로는 그림 12-2(B)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표(16개 조합)에 대한 회로를 구현한다.
    리포트 | 9페이지 | 2,500원 | 등록일 2010.04.06
  • Verilog 4bit ALU Design (4비트 ALU설계)
    (Active Low)clkIn1Clock (Rising Edge에 동기)opcodeIn8제어신호aIn4연산자 좌측 데이터bIn4연산자 우측 데이터zout4연산 결과● 모듈의 Verilog ... 제어신호들의 집합이다.◇ 연산에 수행될 데이터는 단자 a 와 b 에 입력되고, 변형된 데이터는 단자 z 로 출력된다.◇ ALU 회로의 구조는 아래 그림과 같다.◇ 단자 opcode의 8bit는 ... = b;1'b1 : mux_s = ra_s;default : ;endcaseendalways @(a or mux_s or op_sel)begincase (op_sel)3'b000
    리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • A/D 변환 [마컴 예비레포트]
    , MUX0을 1로 셋팅하고, 외부 AREF 단자를 입력 전압사용하며, 단극성이다.LDIAL,0b10000111; ADC enable, 125kHzOUTADCSRA,AL// ADEN ... 좌측으로 끝을 맞추어 저장된다.비트 4~0 = AL,0b00000011; select ADC3 with external AREFOUTADMUX,AL; (single ended)// MUX1 ... 있으며, MCU 내부의 아날로그 멀티 플렉서에 의하여 선택 된다.각채널은 8개의 단극성 아날로그 입력으로 사용될 수 있고, 1개의 지정된 핀을 기준으로 하는 7개의 차동 입력으로
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.22
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    설계한다.RTA Simulation을 통해 연산의 지연 시간을 측정한다.■ 개념설계산술논리장치(ALU)는 입력신호 A, B는 다수의 논리회로와 멀티플렉서(Multiplexer, MUX ... M),ALU_2(A[11:8], B[11:8], S0, S1, X[11:8], Y[11:8], M),ALU_3(A[15:12], B[15:12], S0, S1, X[15:12], Y ... carry[0]),CLA_3(O[11:8], carry[2], X[11:8], Y[11:8], carry[1]),CLA_4(O[15:12], Cout, X[15:12], Y[15:12
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • 디지털 텀프 정환 디지털오르간
    우리는 이 음을 기준으로 하여 4옥타브 C음까지 반음을 포함한 13개의 음계를 구현하였다.그림 1 : 주파수 별 발생 음계 표주파수를 분주하는 데에는 8진 counter를 이용하였다 ... 되는 시점에 한 클럭만이 0이 되도록 Q1 과 /Q0를 NAND에 drive 시켜서 한 pulse를 얻을 수 있었다.(4) piezo_do_do_100k_carry흰건반 8개(도~도 ... segment의 출력단자가 초기상태 setting시와 down 카운팅 될 때 2번 필요하므로 mux 7개를 연결하여 모듈을 만들어 사용하였다.(6) freq_div시계에 들어가는
    리포트 | 13페이지 | 1,000원 | 등록일 2013.01.24
  • 디지털실험 4예비 실험 4. 엔코더와 디코더 회로
    *1멀티플렉서 입력으로 하는 시스템을 구성하고 실험과 비교하라.멀티플렉서(MUX)는 데이터 입력과 제어 입력으로 구성된 데이터 선택기 이다. 4*1멀티플렉서 라는 것은 4개의 입력과 ... 입력으로 10진식이나 8진식의 정보를 받아들여 2진식이나 BCD와 같은 코드로 변환시키는 조합회로이다. 2^n개의 입력항에서 n개의 출력항을 만든다. ... 결국 z=sum _{K=0} ^{2 ^{N} -1} m _{k} i _{k}이다. z=1이 나오기 위해선 I=1이고 함께 AND연산되는 제어입력도 1이 되어야 하는데 최소항의 전개식만큼
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 4bit 가감산 계산기 설계
    ←SAHHH2C, A←A+BHHH4C, A←A-BHHH5No operationHLL나머지 micro operations회로에서는 8x4 Mux를 이용해 표현하였고 SEL 단자는 아래의 ... 1bit C 레지스터와 4bit A레지스터에 넣으라는 것이다. ... 시작하는 스위치는 synchronizer를 통해서 micro operation 1을 위한 pulse가 1에서 나오며 micro operation 2에서 데이터를 읽어 들인다. micro
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    또한, reset버튼을 추가시켜 8번 입력에 넣어줬는데, 이 역시 엔코더 P1(B), P2(A)출력은 00으로 가위바위보 게임에는 영향이 없도록 했으며 reset버튼을 COM과 Player의 ... 그리고 위에서는 4번째 DFF 입력에MUX를 이용하여 입력했는데, 이는 실제 회로 설계에서는 스위치를 이용할 계획이다.? 가위바위보 ? ... 승패 비교기COMPlayerDecoderC1C2P1P2D0D1D2010110001100100111001100100110101001011010110101011100011111100☞
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • verilog 4bit alu
    S1,S2,Cin을 입력받는다.M이 1이라면 산술연산을 하는데 S1,S2,Cin의 3bit에따라 8개 중 하나의 연산의 종류가 결정되고 M이 0이라면 논리연산을 하는데 S1,S2의 ... mbit0(A[0],B[0],S0,S1,G[0]);mux4t1 mbit1(A[1],B[1],S0,S1,G[1]);mux4t1 mbit2(A[2],B[2],S0,S1,G[2]);mux4t1 ... 이때 논리연산은 4x1MUX로 and or xor not을 결정하고 2x1MUX로 산술(M=1)인지 논리(M=0)인지 결정한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • AMBA AHB 설계
    Master - 2 Slave(1) 이슈- Slave Select 신호.- MUX의 필요성 및 Select 신호.- Pipeline 구조에 의한 Address Phase 와 Data ... Brust Mode 와 Multiple Transfer 의 차이점을 설명할 수 있게 되었고 몇 가지 가정을 통해 Burst Mode를 구현해보고자 했다.① 기본 데이터의 길이는 8bit ... 동작 횟수만큼 주소 값을 자동 증가 시킨다.④ Burst Mode가 시작되면 동작이 완전히 종료되기 전까지는 다른 동작을 하지 않는다.⑤ HSIZE 신호를 통해 데이터 전송의 폭을 8bit에서
    리포트 | 15페이지 | 5,000원 | 등록일 2009.12.21
  • 16 bit Simple Microprocessor 구현하기
    이는 RF 로 연결된 2x1 mux 로 바로 이동한다.( 여기서 name 이 3x1 mux ,2x1 mux 이지 input 개수가 각각 3개, 2개인 것은 아니다. ... 디자인을 수정하였으며 원래의 그림에 맞춰서 이름을 그대로 사용해 주었다. )2x1 mux에서 rfs 를 10 을 선택해준다. 10 은 IR에서 2x1 mux 로 연결된 부분을 선택한다는 ... direct값을 호출하고 mre를 1로 한다음 rfs를 01로 해준다. rfs 가 01 이 되면서 2x1 mux에서 memory 주소값을 불러온다 .
    리포트 | 34페이지 | 4,000원 | 등록일 2009.10.06
  • 실험6예비[1].Shift.Register&Counter
    8. ... NAND gate만을 이용한 MUX 회로- MUX의 입력을 Q와 QN으로 선택한 후 Up과 Down을 설정을 위한 신호 입력을 추가해서 최종 완성된 회로는 아래와 같다. ... 사진 자료는 위 이론 부분에 첨부되어 있다.8단의 2진 카운터에서 카운트할 수 있는 최대 값은 얼마인가?
    리포트 | 11페이지 | 1,000원 | 등록일 2011.06.27
  • 실험 4. 멀티플렉서와 디멀티플렉서 예비보고서
    . 74138 디코더와 74139 디코더1) 74138 디코더이 디코더는 NAND 게이트로 구성되어 있다.,,의 3개 입력과 8개의 출력을 갖는 3X8 디코더(3 to 8 디코더 또는 ... 멀티플렉서와 디멀티플렉서예비보고서(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR ... 1-of-8 디코더)라고 한다.,,는 Enable 입력 단자이다.74138디코더는==0이고=1일 때 동작하게 된다.< 진리표 >=1이거나=1이거나=0이면 다른 나머지 값이 0이든
    리포트 | 7페이지 | 1,000원 | 등록일 2011.01.11
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    이용하여 8 by 3 Encoder를 설계하고 실험을 통해 검증한다.4) Gate를 이용하여 8 by 3 Decoder를 설계하고 실험을 통해 검증한다.5) 74LS153(MUX) ... 74LS153을 사용하여 4 to 1 MUX의 동작을 제작하여 검증하라.1. ... 1.TitleEncoder, Decoder, MUX(Multiplexer)의 작동원리 및 특징에 대하여 이해한다.2.Name3.Abstract1) 74LS153칩을 이용하여 4 to
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
  • VHDL-Pre lab - Mux and DeMUX
    8개의 출력 신호인 Q7’,Q6’,Q5’,Q4’,Q3’,Q2’,Q1’,Q0’ 들로 되어있다. ... G1이 1일 경우에, 회로는 동작되고, 입력되는 선택 신호에 의해 결정 되어지는 8개의 출력 중 하나가 선택되어 OUTPUT이 결정된다. ... 실험 이론지식 MUX and DEMUX1> MUX (Multiplexer)입력되는 신호들 가운데 선택된 하나의 입력 신호를 출력으로 공급하는 논리회로이다.즉, MUX는 2^n개의 입력
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • MIPS 32bit 인하대학교 디지털 시스템 설계 (정덕진 교수님) 수업
    ~b : b;//Binvert에 대해 반응하는 MUX입니다.wire And;assign And = a & changeB;//ANDwire Or;assign Or = a | changeB ... Or : And );//ALU를 통해 원하는 값을 얻기위한 MUX부분입니다.endmodule..FILE:MIPS_32bit/ALU_Control.v//ALU의 알맞은 동작을 위해 신호를 ... = (t8 >> 1);t10 = (t9 & 1);*((unsigned int *)t6) = t10;t11 = *((unsigned int *)t7);t12 = (t11 >> 1);
    리포트 | 16페이지 | 5,000원 | 등록일 2012.05.05
  • 임베디드 시스템 실험 14주차 FINAL HBE EMPOS Ⅲ SC100 DMA Control
    값을 관측할 때, delay time(Timer Clock peiod/2 + 2*PCLK period)을 기다려야 한다.Example) Prescaler = 4, Divider mux ... 1=digital filter(clock count)0EINT8_11[1][13:8]WKUP_INT[9]에 대한 Filtering width이 값은 FLTSEL1이 1일 때 유효하다 ... .000FLTEN8_11[0][7]WKUP_INT[8]에 대한 Filter Enable0=disables 1=enables0FLTSEL8_11[0][6]WKUP_INT[8]에 대한
    리포트 | 97페이지 | 6,000원 | 등록일 2013.10.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대