• 통큰쿠폰이벤트-통합
  • 통합검색(544)
  • 리포트(516)
  • 시험자료(19)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(1)

"8*1 mux" 검색결과 241-260 / 544건

  • 전자공학실험1 예비(7장)
    회로를 이해하고 부품리스트에 나온 IC들의 기능과 핀 배치도를 조사하여 작성하라.< BCD to 7 segment decoder >< 8 : 1 MUX >< Dual 1 : 4 Demux ... 이는 7개의 LED를 8자 모양으로 배치하여 숫자를 나타내는 것이다. 7-segment를 개별 LED로 만들면 pin의 개수가 너무 많아지게 되므로, LED의 한쪽을 공통으로 연결한다 ... MUX는 여러 소스로부터 하나의 bus선을 이용하여 전송하는 경우에 매우 유용하게 사용된다.멀티플렉서를 이용하면개의 데이터소스를 하나의 bus를 이용하여 전송할 수 있는데 상대편에서는
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.08
  • [디지털시스템실험(Verilog)] Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder 결과보고서
    이를 4to1 MUX로 구현하였으며, 여기서 얻어지는 값이 모듈의 최종 output인 addr_op가 된다.이로써 8bit의 opcode를 받아, address generator가 ... 각 case의 연산이 끝나면, 네 번째 MUX인 4to1 MUX를 이용하게 된다. ... +42,5base-47,10,13base+28,11,14base-210,3,6base+11,4,7base-12,5nop8base-49base+4100,1,3,4,6,7,9,10,12,13,15nop10,2,3,5,6nop8base
    리포트 | 5페이지 | 2,000원 | 등록일 2011.10.05
  • [컴퓨터공학기초설계및실험1 예비레포트] 복호기와 부호기 / 멀티플렉서와 디멀티플렉서
    실험을 통하여 이들 회로에 대한 응용력을 배양한다.원리(배경지식)멀티플렉서와 디멀티플렉서의 관계를 나타낸 그림멀티플렉서(multiplexer : MUX)A1Y1D00D1멀티플렉서는 ... 따라서 만약 3 입력인 경우에는 출력이 8가지가 되고 4 입력인 경우에 출력은 16가지까지 가능하게 된다.입 력출 력ABD0D1D2D30*************0010110001BCD ... 아래의 그림들은 차례대로 우선순위를 갖는 4-to-2 인코더 회로도, 4-to-2 우선순위 인코더 2개를 이용해 구성한 8-to-3 우선순위 인코더를 나타낸다.
    리포트 | 7페이지 | 1,500원 | 등록일 2015.04.12
  • 스위치 모델 jkff 회로 설계
    switch 레벨 소스)Mux41_c (switch 레벨소스)Not_c (switch 레벨 소스)Tb_jkff_using_dff (rtl 레벨소스)과 출력 파형(작성자:탁형옥 2012.8.11 ... 1ps/1psmodule mux41_c(output out,input a,b,c,d, // 00,01,10,11input [1:0] sel);parameter pd = 57;wire ... gnd;wire [1:0] sel = {j,k};dlatch_p U1 (n,clk,d); //3dlatch_n U2 (q,clk,n); // 3mux41_c U3 (d,q,gnd,
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.12
  • 마이컴ADC_USART
    ADC 관련 레지스터① ADC Multiplexer Selection Register ( ADMUX )Bit76543210REFS1REFS0ADLARMUX4MUX3MUX2MUX1MUX0Read ... ADC의 구조 및 기능- 10-bit ADC 1개- 8개의 입력신호 처리 가능- AVCC, 외부 AREF 핀 전압, 내부 2.56V전압2. ... Character Size를 8bit로 설정UBRRH = 0;UBRRL = 103;// USX=0.( UCSRA=0x00 )// 9600bps.
    리포트 | 14페이지 | 3,000원 | 등록일 2012.11.30
  • 논리회로실험 결과 5
    입력을 내보내는 MUX와 1개 입력에 대해2 ^{n}개 출력을 내보내는 DEMUX를 이해했다. ... 기존의 디코더는 4개의 입력이라면, 16개의 출력을 나타내imalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0011061110111111011171101111111DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0100081011111111100190111111111또한 ... -1111111111DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y0DCBADecimalY9Y8Y7Y6Y5Y4Y3Y2Y1Y01110-11111111111111-1111111111③
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.10.01
  • [디지털시스템실험(Verilog)] Arithmetic Logical Unit(ALU) 결과보고서
    , 나머지 bit는 모두 0이 되고, signed일 때는 result의 하위 8bit가 data1의 하위 8bit,나머지 bit는 data1[7]과 동일해진다.모두 assign문을 ... 결과값을 'op12'에 저장한다.op=13일 경우 extend word to word 연산이 수행된다. unsigned일 때는 result의 하위 8bit가 data1의 하위 8bit ... usb, op1_usa}'에 저장하고, 32×32 signed multiplier 연산의 결과값은 '{op1_sb, op1_sa}'에 저장하도록 하였다.이후 32bit의 2to1 MUX
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • 프로젝트 2
    자기 생년월일 8자리 연속적으로 깜빡이도록 하기module led_on_off(clk,year4,year3,year2,year1,month2,month1,day2,day1);input ... 자기 생년월일 8자리 연속적으로 깜빡이도록 하기-> 1번째 기능의 경우 클럭을 25MHz의 클럭을 분주하여 1초에 한번씩 깜빡이도록 주파수를 넣어주게 되는데, 이때의 원리는 0일때는 ... 자기 생년월일 8자리를 DEII 보드의 8개 7-segment를 이용하여 연속적으로 깜빡이도록 함?
    리포트 | 18페이지 | 4,000원 | 등록일 2012.01.26
  • 디지털논리회로실험 텀프로젝트
    각각 주파수를 1/2, 1/4, 1/8배 해주는 분주기이다. (3) 이론CounterROM분주기DEMUX / MUX ... 목차- 서론- 본론- 결론- 참고문헌- 프로젝트 후기1.서론설계 구성 요소 : 목표 및 기준 설정- 개발 동기(목적), 차별성이 프로젝트를 설계하게된 것은 Dot Matrix를 통하여 ... 실생활에 사용되는 도구를 만드는 것도 좋지만 직접 조절을 하면서 게임을 수행할 수 있다는 점에서 차별성을 부여할 수 있다.2.본론설계 구성 요소 : 합성, 분석, 제작- 세부 사항 (1)
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • 실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer)
    .< 질문사항 >(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit ... (S는 스위치의 역할)(2) 다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말: Buffer는 High or Low의 ... output decoder 로서 3개의 입력과 8개의 출력단을 가지고 있는 가장 기본적인 Decoder 회로를 보여주고 있다.
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    이는 16bit 감·가산기의 마지막 올림값인 Cout과 modeS0의 XOR 을 이용하였다.16bit 감·가산기 내부에서는 4X1 MUX를 이용하여 입력 값 a0~a15에 입력 값 ... 자세한 회로의 과정은 뒤에서 설명한다.8.8.8. ... 그래서 10진수 0,1,2,3,4,5,6,7,8,9 각각에 해당하는 2진수 값을 넣는 과정이다.10진수2진수2^{ 15}2^{ 14}2^{13}2^{12}2^{11}2^{10}2^{
    리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • ATmega128을 이용한 탁구 서비스 머신
    인터럽트 입력단 포트 D와 연결하여 하강에지로 동작한다.DC 모터Quad 2 to 1 MUX IC인 74157을 이용하여 동작을 제어스텝 모터와 마찬가지로 TR과 다이오드를 이용하여 ... = 0) // 자동 모드일 때{PORTB = (rval & 0b00000011) | (PORTB & 0b11111100); // OCR0와 OCR2를 2-1 MUX로 무작위로 읽어 ... = 0x0; // 도트 매트릭스 관련 레지스터TCCR3B = 0x02; // 타카3 이용TCNT0 = 0x0;TCNT2 = 0x0;TCNT3H = 25536 >> 8; // (1/16
    리포트 | 23페이지 | 2,000원 | 등록일 2014.10.01 | 수정일 2016.04.14
  • 멀티플랙서 비교기 설계
    설계(실험) 배경 및 목표VHDL 을 이용한 멀티플렉서 설계 - 2x1 mux 또는 4x1 mux를 사용하여 8x1 mux를 구성한다. - 시뮬레이션 방법 : Test bench waveform ... 멀티플렉서(MUX : multiplexer) - 위에 주어진 entity 사용 할 것 - 2x1 mux(or 4x1 mux)를 사용하여 8x1 mux를 구성할 것 Component/ ... 설계(실험) 결과앞에서 설계한 2x1 MUX를 사용하여 8x1 MUX를 구성한다. component와 port map 구문을 사용한다.  코드의 자세한 내용은 이론에서 설명1.
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.09
  • 실험4예비[1].MUX&DEMUX
    Gate로 만든 MUX4x1 멀티플렉서 IC인 74HC153을 이용하여 다음 회로를 구성한다.회로 SEQ 회로 \* ARABIC 4. 74HC153을 이용한 MUX입력출력ES1S0D3D2D1D0Y ... MUX받거나 Inverter 후에 입력받는데, 입력된 신호가 모두 1이어야 출력되는 AND gate의 특성을 이용한다. ... 결국 D1과 0이 OR gate로 연결되어 Y에는 D1이 출력된다.다음 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말:
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • [디지털시스템실험(Verilog)] Memory Controller 결과보고서
    MUX m8(코드 수정 중 m4~m7 부분이 삭제되어, 모듈 네임이 m3에서 m8로 바로 넘어간 것으로, 큰 의미는 없다)은 output rdata를 정의한다.정의 방법은 위의 sram_wdata의 ... MUX m0, m1는 각각 output oeb와 web를 정의해준다. ... 위의 표를 토대로 {size[1:0], bank[1:0]}가 이 MUX의 select bit이 된다. wdata의 경우 extend는 고려할 필요가 없다.예를 들어 몇몇 경우만 분석해보면
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • dmac final report
    범위를 벗어나는 주소임에도 불구하고, [10:8] bit만 해석하여 MUX가 해당 데이터를 읽어오고 있음을 왼쪽 그림과 같은 Test-bench Waveform을 통해 확인해볼 수 ... 범위를 벗어나는 주소 값에 대한 출력으로 Select신호가 10000으로 나옴을 확인할 수 있었다.MUX의 경우는 Address 의 [7:0] 비트에 따라 MUX의 출력이 변하는데, ... 활용되었는데, case(address[31:8]) 에 1~4 까지의 case를 적용하고, 그 이외의 경우에 대하여 벗어난 주소 표시를 해주는 방법을 사용했다.그랬더니 왼쪽에 보이는
    리포트 | 19페이지 | 2,000원 | 등록일 2012.02.29
  • FPGA 디지털 시스템 설계 : 16:1 Mux 및 Hex to 7 segment 설계
    Verilog code//mux16x1.vmodule mux_16x1(i0,i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15,sel,y);input ... ; 5: y=i5;6: y=i6; 7: y=i7; 8: y=i8; 9: y=i9; 10: y=i10; 11:e tb_mux_16x1();reg i0,i1,i2,i3,i4,i5,i6, ... i7,i8,i9,i10,i11,i12,i13,i14,i15;reg [3:0]sel;wire y;mux_16x1 m(.i0(i0),.i1(i1),.i2(i2),.i3(i3),.i4(i4
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.18
  • 예비보고서 // 멀티플렉서, 인코더 및 디코더, 2진 4비트 가산기
    이해한다.2)3 to 8 MUX와 8 to 3 DEMDX 의 회로를 구성하고 동작을 이해한다.2.실험이론(멀티플렉스 MUX)멀티플렉싱이란 많은 수의 정보장치를 적은수의 채널이나 선들을 ... 1.실험목적MUX/DEMUX와 Encoder/Decoder의 구조와 동작원리를 이해하고 이를 응용하는 능력을 기른다.1)4to1 MUX 와 1to 4DEMUX의 회로를 구성하고 동작을
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • [컴기설2 보고서] Shifter & Counter
    bit register로 instance 되어있음을 볼 수 있다.구현한 대로 LSL8, LSR8, ASR8이 instance되어 있고, mux들의 output이 do_next로 연결되어 ... multiplexer(LSL8, LSR8, ASR8에서 각각instance)I/O configurationModule 이름구분이름비트 수설명shifter8inputclk1-bitClockreset_n1 ... statestate3-bitstatecla8inputa, b8-bitCLA의 입력 A, Bci8-bitCLA의 입력 carry inoutputs8-bitCLA의 출력 Sco1-bitCLA의
    리포트 | 18페이지 | 1,500원 | 등록일 2015.03.17
  • flip-flop and counter design(예비)
    leftOutput, rightOutput;wire D1,D2,D3,D4;wire Q2,Q3; /MUX_2_TO_1 MUX1(leftInput, Q2, D1, s1&~s2);MUX ... _2_TO_1 MUX2(leftOutput, Q3, D2, s1&~s2);MUX_2_TO_1 MUX3(rig34567800011- Verilog CodeBy the truth table ... Therefore, unlike mod-8 counter, it does need preset gate to coerce it out of illegal state, and has
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대