• 통큰쿠폰이벤트-통합
  • 통합검색(10,917)
  • 리포트(9,354)
  • 시험자료(651)
  • 자기소개서(323)
  • 서식(297)
  • 방송통신대(236)
  • 논문(39)
  • 이력서(7)
  • 노하우(6)
  • ppt테마(4)

"가산기" 검색결과 301-320 / 10,917건

  • 4자리 가감산기 / 8421가산기 최종보고서
    제 안 서 < 4자리 가감산기 / 8421가산기 설계 > 2 조Ⅰ. 목표설정1. 실험명4자리 가감산기 / 8421가산기 설계2. ... 회로의 동작1-1. 4자리 가감산기M = 1 ( 가산기 )M = 1 ( 가산기 )M = 0 ( 감산기 )1-2. ... BCD 가산기M = 1 ( 가산기 )M = 1 ( 가산기 )1-3. 신뢰성LED로 High, Low를 확인한 결과 회로만 잘 연결하면 동작에 이상이 없었다.1-4.
    리포트 | 19페이지 | 2,000원 | 등록일 2010.09.19 | 수정일 2020.12.14
  • 가산기의 구조와 회로도
    가산기0. 반가산기란?입력 변수인 두 개의 이진수를 더하여 합과 자리 올림 수를 산출하는 회로로 가산기의 일부를 이루는 장치이다.1. ... 가산기가산기란 두 개 이상의 수를 입력하여 이들의 합을 출력으로 나타내는 회로이다. 컴퓨터 연산 장치를 구성하는 요소 중의 하나로서 전가산기와 반가산기의 2가지 종류가 있다. ... 가산기의 예제 문제* 다음은 전가산기(full adder)의 블록도이다. 물음에 답하시오.1.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.11.13
  • [논리회로실험] 가산기와 감산기 (예비)
    소요▶ 단점을 보완키 위해 look-ahead Carry 가산기가 있다.(2) 직렬 가산기 serial adder▶ 직렬가산기는 전가산기 하나만을 이용하여 N비트의 가산을 할수 있는 ... 부울함수2) 반가산기를 이용하여 전가산기를 구성하라.전가산기의 진리표입 력출 력XYZCS0000000101010010111010001101101101011111전가산기 부울함수3) ... Carry storage(플립플롭)를 전가산기에 연결하면 곧 직렬가산기 회로가 된다.▶ 클럭 펄스가 들어올 때마다 한 비트씩 A, B가 전가산기에 들어간다.▶ 가산되어 나온 Sum은
    리포트 | 11페이지 | 1,500원 | 등록일 2009.03.20
  • 가산증폭기 감산증폭기 결과보고서
    가산증폭기(1) 가산증폭기 세팅사진(2) 가산 사진? 감산증폭기(1) 감산증폭기 세팅사진(2) 감산 사진6. ... 이용한 가산 증폭기이다. ... 이론[그림 ] 가산증폭회로◎ 가산 증폭기 (Summing Amplifier)[그림 1]와 같이 여러 개의 입력저항을 동시에 OP-Amp의 반전입력 (-) 단자에 연결하면 가산기가 된다
    리포트 | 10페이지 | 3,000원 | 등록일 2009.12.09 | 수정일 2019.05.26
  • (전자공학) 가산 증폭기 실험
    가산 증폭기1. 실험 목적가산 증폭기의 특성을 이해하고 가산기 회로의 설계기법과 동작을 관찰하는데 그 목적이 있다.2. ... 관련 이론가산 회로는 연산 증폭기의 가상쇼트를 잘 이용한 회로입니다.이 회로를 능숙하게 사용하면 연산 증폭기를 사용한 전기적 가감산을 할 수 있도록 됩니다.전압 브리지를 이용하여 가산회로를 ... Rf 이고, 이득은 Av= Vout/V2= -Rf/R2 입니다.그러면 R1과 R2가 모두 있는 반전 가산기인 경우에는 어떨까요?
    리포트 | 5페이지 | 1,500원 | 등록일 2008.12.14
  • 가산기, 전가산기, 2의 보수 XOR, XNOR정의 및 특성
    가산기가산기 회로도전가산기 (full adder)는 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 반가산기가산기 (half adder)는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력(carry out)에 따라 출력한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.04.28
  • 전전자실험 예비 Report(전,반가산기,플립플롭)
    가산기 란? ... 전가산기의 원리1) 자리올림수(Z)를 포함하여 1비트 크기의 2진수 3자리를 더하여 합(Sum)과 자리올림수(Carry)를 구하는 회로이다.컴퓨터는 전가산기를 반가산기라고 하는 2개의 ... 1) 가산기의 한 종류로서 컴퓨터 내에서 2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로 중 하나로반 덧셈기 라고도 한다.반가산기는 2개의 2진수 A와 B를 더하여 합(Sum)
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.12
  • [컴퓨터구조] 전가산기
    --------- 전가산기(Full Adder) -------------두 개의 2진수에서 최소유효자리(LSB)의 가산은 반가산기로써 실행할 수 있으나 n-bit의 2진수를 합할 경우 ... 그림 1은 전가산기의 논리회로와 진리표를 보여준다. ... (b)AnBnCn-1(c)(a)nnn-1그림 1 전가산기의 진리표(a) 표시기호(b) 논리 기호(c)1.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.08.08
  • VHDL을 이용한 Half Adder(반가산기)설계
    ◎Half adder1) 소스코드① half adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; ◀ library 정의 , IE..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • VHDL을 이용한 FULL ADDER(전가산기)설계
    ◎Full adder1) 소스코드① full adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity full_adder ..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.06.18
  • 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    HA)라 한다. 2개의 반가산기를 사용하여 전가산기를 제작할 수 있다.반가산기(Half adder)반가산기는 2개의 2진 입력과 2개의 2진 출력으로 구성한다. ... 이와 같이 세 비트의 덧셈을 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder: ... 두 입력을 x와 y라 하고, 출력을 S(sum:합)와 C(carry:캐리)라고 할 때, 반가산기의 진리표는 아래와 같다.[ 반가산기의 진리표 ]입력출력xyCS0************
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • 컴퓨터구조 1-bit adder 가산기 구현
    1) 서론▶ 연구 분야 컴퓨터의 구조를 명확히 이해하기 위하여 먼저 ALU(arithmetic-logic unit 산술논리 연산장치)를 이해하고, 설계한다. 컴퓨터의 하드웨어적인 부분에 대한 전반적인 이해를 돕는 Project로 컴퓨터의 구조적인 부분에 대한 연구를 ..
    리포트 | 13페이지 | 3,000원 | 등록일 2011.04.21
  • 디지털회로 [ 반가산기, 전가산기, 반감산기, 전감산기 _ 사전 ]
    가산기, 전가산기,반감산기, 전감산기제출일실험조이름-사전 보고서-? ... 반가산기는 어려 비트의 덧셈 기를 구현할 때 최하위 비트의 가산기에 해당한다.1 ?A+1 ?B10 ? ... 실험목적연산 회로의 기본인 가산기, 감산기의 구조를 이해하고, 기본 게이트들을 사용해 가산기와 감산기를 구성한 후 동작 특성을 확인하고, 측정한다.? 이론1.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 가산기 감산기(사전, 결과 리포트)
    가산기와 감산기사전 및 결과 보고서과목디지털회로실험목적?반가산기와 전가산기의 원리를 이해한다.??반감산기와 전감산기의 원리를 이해한다.??? ... 가산기와 감산기의 동작을 확인한다.???? ... 반가산기, 전가산기, 반감산기, 전감산기 이렇게 4가지 실험을 하였다. 한명씩 돌아가면서 데이터결과 기록과 결선 데이터 측정을 맡아서 하였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2007.11.21
  • quartus를 이용하여 반가산기, 전가산기 시뮬레이션
    ① gate수준(논리식)의 표현 library ieee; use ieee.std_logic_1164.all; entity half_adder isport( --입출력 정의x : in STD_logic;..
    리포트 | 7페이지 | 1,500원 | 등록일 2006.10.11
  • 기본 논리 함수 및 gate와 가산기 레포트
    이것을 전가산기라고 하며, 전가산기는 반가산기 2개와 1개의 OR 게이트로서 실현될 수 있다.5) 실험절차 6의 결과를 이용하여, 그림 8.6의 회로의 동작과 용도를 설명하라. ... 이것을 반가산기(Half Adder)라고 하며, 반가산기는 실험 4와 같이 1개의 XOR과 1개의 AND 게이트로서 실현될 수 있다.4) 실험절차 5의 결과를 이용하여, 그림 8.5 ... 실험 제목기본 논리 함수 및 gate와 가산기2. 실험 목적? 기본논리소자를 이용하여 조합논리 회로를 구성하고 기본논리 특성을 이해한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2010.05.02 | 수정일 2014.05.28
  • 디지털시스템 실험(SR Latch, JK, D FF, Register, Shift Register, Register를 이용한 가산기)
    앞서 작성한 Register code를 이용하여 0~9의 한자릿수 덧셈, 뺄셈을 하는 계산기를 작성하였다.9. ... 를 설계한다.실험결과이번 실험은 각종 Flip-flop을 구현하고 최종적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 계산기를 ... 계산기를 FPGA보드에 연결하여 작동시켜 보았다.5를 누르자 LED에 5가 표시된다이후 3을 누르자 5+3의 결과인 8이 2진수 1000으로 표시되었다.이번엔 뺄셈으로 바꿔서 8를
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.03
  • OP-AMP(가산기,감산기) 예비,결과보고서
    신호 증폭을 위한 주 증폭기의 종류로는 전압증폭기와 전류증폭기가 있지만 여기서는 전압증폭기만을 취급한다. ... 연산증폭기를 사용하여 사칙연산이 가능한 회로 구성을 할 수 있으므로, 연산자의 의미에서 연산증폭기라고 부른다. 연산증폭기를 사용하여서 미분기 및 적분기를 구현할 수 있다. ... 기초 이론 연산 증폭기는 고 이득 전압증폭기이다. 연산 증폭기는 두 개의 입력단자와 한 개의 출력단자를 갖는다.
    리포트 | 5페이지 | 2,000원 | 등록일 2010.09.13
  • 가산기(adder)예비보고서 입니다
    예비 보고서Adder(가산기)1. 실험 제목-가산기 예비보고서2. ... 반 가산기(2) 앞 1번과 마찬가지로 NAND 게이트만으로 전가산기를 실현하기 위한 pin 연결도를 그려라.(3) 2진 전 가산기 TTL 칩(74LS293)을 인터넷에서 찾고 pin ... 예비보고서(1) 앞에서 설명한 방법을 쫓아서 그림 5의 반 가산기를 8개의 2입력 NAND 게이트만으로 설계하라.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.15
  • 가산기전감산기
    가산기가산기는 3개의 입력비트의 합을 계산하는 조합회로이며,3개의 입력과 2개의 출력으로 구성된다.x와 y로 표시된 두개의 입력변수는 더해 질 현재 위치의 두 비트이며,z로 표시된 ... 합의 곱으로 표현할 경우 [그림2]와 같은 수의 게이트를 사용하지만,AND게이트와 OR게이트의 수가 서로 바뀐다.전가산기는 2개의 반가산기와 하나의 OR게이트로 실현할 수 있다.그리고 ... 전감산기< 전감산기 회로도 >두개의 2진수의 뺄셈은 감수의 보수를 구하여,그것을 피감수에 더함으로써 실현된다.이 방법에 의하면 뺄셈은 전가산기를 사용하는 덧셈이 된다.뺄셈을 실현하는
    리포트 | 5페이지 | 1,000원 | 등록일 2006.10.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대