• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 321-340 / 3,568건

  • 11주차-실험21 결과 - 메모리 회로
    논리소자들을 이용하여 ROM을 만드는 것입니다.(3) 다음의 회로를 구성하고, 데이터 입력을 위하여 의 회로를 구성하라.① ROM이 지워져 있는지 확이하고, OC(SW 4)를 low상태에 ... 실험 1의 회로는 SW1~4에 따라서 저장되어 있는 데이터가 출력되는 회로입니다. ... 및 고찰실험 1은 ROM을 논리소자들을 이용하여 만들어 보는 실험이었습니다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.02
  • 시립대 전전설2 Velilog 결과리포트 3주차
    주로 조합논리회로와 순차논리회로의 설계, 설계된 회로의 시뮬레이션을 위한 테스트 벤치의 작성에 사용된다. ... 예상결과- Schematic을 이용하여 게이트를 직접 그려서 논리 회로를 설계하고 시뮬레이션 및 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기 ... 실험 목적2. 배경 이론3. 실험 장비4. 예상결과5. 시뮬레이션 결과와 실험 결과의 비교1) 1bit Full Adder ?
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 디지털 논리회로 3주차 예비보고서
    디지털 논리실험 및 설계 3주차 예비보고서실험 준비기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.2-bit 복호기는 2개의 input값을 받는다. input값은 이때 ... 꺼진 것으로 생각하는 회로는 ‘정논리회로 , 1을 꺼진 것으로 , 즉 반대로 생각하는 것을 ‘부논리회로 라 해서 서로 상보적으로 구분합니다. ... 정논리에서는 1이 켜지는 상태 즉 active high라 한다면 부논리 회로에서는 0이 켜지는 상태가 되는 것이므로 active low가 되는 것이다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 서울시립대학교 전전설3 9주차 결과레포트(설계 성공적, A+, 코로나로 인한 시뮬레이션 실험, 하지만 이론 주석 깔끔)
    실제 수식을 통해 계산한 결과가 시뮬레이션과 동일하게 결과를 보이는 것을 확인할 수 있는 실험이었다.4. ... 실험 [1-4] ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 8마. ... 0이 나오는 것을 확인할 수 있었다.- 인 경우출력이 가 나오는 것을 확인할 수 있었다.정리하자면, 이 회로는 출력을 반전시키는 Inverter역할을 수행하고 또한 논리적으로는 NOT
    리포트 | 10페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 논리 회로 실험 NOT 결과 보고서
    디지털 논리 회로 실험 결과 보고서실험 1. 기본 논리 게이트◎ 실험 1-1. ... ◎ 실험 1-4. ... AND Gate- 실험 방법 : 7408 IC 핀 배치도를 참조하여 게이트 4개 중 1개를 선정하여 그림과 같은 AND 게이트 회로를 구성한다. 7408의 7번 핀은 접지하고 14번
    리포트 | 5페이지 | 2,000원 | 등록일 2021.12.31
  • [논리회로실험] Decoder & Encoder 예비보고서
    참고네이버 지식백과wikipedia논리회로 강의노트 ... 실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일:과목명: 논리회로실험교수명
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 정보통신기초 설계
    실험을 진행하는 과정에서 NAND게이트로 구성된 RS-FF회로는 부논리회로로써 S=0일 때 set이 작동하여 Q가 1이 되고 R=0일 때 reset이 작동하여 R=1이 됨을 알 ... 이를 통해 주어진 NAND게이트로 구성한 SR-FF은 부논리회로로 S가 0일 때 set이 동작하여 Q는 1이되고, R=0일 때 Reset이 동작하여 Q=0이 됨을 확인할 수 있다. ... [그림 2.4] 실험 2를 simulation하여 얻은 waveform입력 신호출력신호ClockDataQ00유지01유지100111[표 2.1] 실험 2 결과표[그림 2.4]는 [그림
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
  • 충북대 기초회로실험 반가산기 및 전가산기 예비
    반가산기 및 전가산기(예비보고서)실험 목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다.이론(1) 2진 연산(Binary Arithmetic ... SN7486(Quad 2-input XOR gate)실험(1) 다음 회로를 구성하고 진리표를 작성하라.(2) 을 확장하여 다음 회로를 구성하고 진리표를 작성하라. ... 합 digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half Adder)2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • ring,jhonson counter 예비레포트
    실험 제목 [Verilog Basic, FPGA]2. 실험 목적-fpga를 통해 ring counter, jhonson counter 시뮬레이션3. ... 관련 이론(1) FPGA (field-programmable fate array)FPGA 는 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 즉 카운터의 상태가 n개이다.예를 들어, 4비트 링 카운터를 사용하면 데이터 패턴이 4개의 클럭펄스마다 반복된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 여러 가지 Inverter의 DC 특성 실험 레포트(예비,결과)
    NMOS 를 이용한 논리 회로 [1]이러한 NMOS 인버터 회로를 활용하여 다양한 논리 회로를 구성할 수 있다. ... 그래서 피스파이스로 회로를 구성해 보았는데, 그림3이 각각의 NMOS와 PMOS를 이용한 인버터 회로를 구성해놓은 것이다.그림 SEQ 그림 \* ARABIC 4. ... NMOS,PMOS Inverter 실험 결과그림4는 그 결과를 나타내는 그림이다. 아래가 입력 전압의 파형이고 위쪽이 출력 전압의 파형이다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.11.08
  • 건국대학교 전기전자기초실험1 14주차 결과보고서 A+
    SR 플립플롭 실험실험에서는 NAND 게이트 논리회로를 이용하여 SR 플립플롭을 구성하고 입력신호에 대한 출력파형을 측정한 후 진리표와 비교하여 그 특성을 확인한다. ... 0000V5V5000V5V0500V5V5500V5V0050V5V5050V5V0555V0V5555V0V[S=0, R=0][S=0, R=5][S=5, R=0, CLK=1][S=5, R=5, CLK=1](4) ... (a) CD4011B와 NE555 핀 구조(b) SR 플립플롭 회로도SR 플립플롭의 입력신호를 생성하기 위해 실험 14-1.의 구형파 출력회로를 참고하여 입력신호 회로를 구성하시오.
    리포트 | 11페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 신재생에너지 발전 실험, 실습 PPT
    신재생에너지 발전 실험 , 실습 환경공학과Chapter 06 반도체 소자1. 반도체 2. 다이오드 3. 트랜지스터 4. ... 작은 규모에서는 논리 소자 기호로 설계할 수 있지만, 규모가 커지면 힘들어진다 6.4.2.1 논리회로소형 처리기라고도 한다 컴퓨터의 중앙 처리 장치(CPU)를 단일 집적 회로(IC) ... 컴퓨터 등 에 많이 사용된다. 6.4.2 디지털회로논리 연산 을 실시하는 회로 디지털 신호를 기억하는 회로 혹은 두가지 기능을 가지는 디지털 회로이다.
    리포트 | 29페이지 | 2,000원 | 등록일 2023.01.11
  • 논리 대수와 드모르간 정리, 간소화 결과보고서 A+
    Experiment-Report(4장 논리 대수와 드모르간 정리, 간소화)1. ... 실험목적실험적으로 Boolean 대수의 여러 법칙을 증명한다.규칙 10과 11을 증명할 회로를 구성한다.실험적으로 4입력 변수를 갖는 회로의 진리표를 결정하고, 수학적으로 등가인지를 ... 논리회로설계 시간에 배운 Timing-Diagram에 대해 직접 구현해보아서 의미가 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 디지털 논리회로 실험 1주차 기본 논리 게이트 결과보고서
    B를 뜻하는 회로였다. 위의 회로실험한 결과, 논리값은 A?B의 진리표와 같은 값이 나왔다. ... 디지털 논리회로 설계 및 실험결과보고서주제 : 기본 논리 게이트 (AND, OR, NOT Gate)소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자 ... 논리 부정 회로라고도 불리는 NOT 게이트는 입력한 신호를 반전하여 출력 반대로 입력신호가 ‘1’이면 ‘0’이 출력된다. 이번 실험에서는 총 3번의 반전이 일어난다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.04.22
  • 시립대 전전설2 Velilog 결과리포트 4주차
    나눗셈은 10진수의 나눗셈과 하는 방법이 같다.2) 연산회로 종류(1) 반가산기 : 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로.(2 ... 목적배경 이론실험 장비시뮬레이션 결과와 실험 결과의 비교1Bit Subtractor4bit Subtractor1Bit Comparator4Bit Comparator코드 분석 및 고찰결론참고 ... 실험 목적- Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 기본 논리 gate와 nand, nor gate를 이용한 xor gate 설계
    실험 기구● 논리회로 실험장치 (브레드보드)IC칩과 도선을 연결해 회로를 구성하고 최대5V 까지 전압을 줄 수 있는 기구● LED (발광 다이오드)켜지면 High(1) 꺼지면(0) ... ‘배타적 논리’는 앞으로 많이 쓰일 이론이라 실험 전 이론 숙지로 회로 해석과 구성에 차질이 생기지 않도록 유의했다. ... 실험 제목2. 실험 목적3. 실험 기구4. 실험 원리5. 실험 결과6. 고찰1.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.11.15 | 수정일 2022.04.23
  • 디지털 회로 실험-XOR 게이트와 그 응용
    -응용회로1은 어떤 기능을 하는 회로인지 설명하시오.실험순서4. ... 실험3의 회로는 XOR 게이트의 응용 회로로 2진수를 그레이 코드로 변환하는 회로이다. 입력이 A,B,C,D로 4개이고 출력도 g1~g4로 4개이다. ... C, g4=C?D 이다. 실험4는 XOR 게이트의 응용으로 그레이 코드를 2진수로 변환하는 회로이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2022.09.10
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    데이터 분배기라고도 한다.1 개의 입력을 갖고 4개의 출력물이 있는데, S1과 S0에 의해 그 중 하나만 선택해 출력하는 회로이다.1*4 Demux의 진리표와 논리회로, 블록도를 ... 실험 9장 멀티플렉서를 이용한 조합논리1. ... ※추가내용Active-Low(부논리 회로)정논리 회로는 0을 꺼진 것으로 생각하는 회로이다.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • [예비보고서] 7.논리함수와 게이트
    디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 2x4 회로도를 설계한다. ... 시간 딜레이를 측정할 수 있는 방법에 대해 조사하고, 딜레이를 가장 정확하게 측정할 수 있는 방법의 실험 방법을 설계한다. ... (답안)Decoder는 N개의 입력과 개의 출력을 가진 회로이며, N개의 입력값에 해당하는 코드를 출력으로 내보낸다. 2x4 Decoder란 2개의 입력과 개의 출력을 가진 Decoder이다.Thermometer
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 목적본 보고서에서는 베릴로그 HDL을 사용하여 순차 논리를 설계 및 실험한다. ... 현재 입력만으로 출력이 결정되기 때문에 조합 논리에는 기억 장치가 쓰이지 않는다.조합 논리는 컴퓨터 회로에서 쓰일 때 불 대수로 입력 의 논리회로가 간단하다. ... Combinational Logic디지털 회로 이론에서 조합 논리(combinational logic)는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 말한다.
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대