• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 261-280 / 3,568건

  • 중앙대학교 전자공학과 합격 자기소개서, 학업계획서
    또한 논리회로 전공 수업을 통해 직접 회로를 구성하고 더 효율적인 회로를 구성하기 위한 방법을 모색하는 실습을 진행했습니다. ... 더 나아가 개인적으로 FPGA 설계소프트웨어 Quartus를 사용하여 논리회로와 순차회로를 사용한 파형을 직접 분석해보며, 경제성을 고려한 제품을 제작해보았습니다.전자전기공학은 우리의 ... 진학하여 반도체 공정 개발 실험에 참여하고 싶습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.12.28
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 장비-digilent nexys4 FPGA board-development environment (Altera, Xilinx)-Vivado Design Suite 2014.4- ... 관련 이론-FPGAFPGA(field programmable gate array)란 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 전전설2 실험2 예비보고서
    한것을 보아 한 개의 칩에 200개의 논리 게이트를 구현할 수 있을 것 같다.[2-4] 본 실험 장비인 HBE Combo-II SE 는 FPGA 칩의 동작을 실험 할 수 있는 여러 ... 것이고, 단순한 논리회로를 설계한다면 CPLD를 이용할 것이다.- 일반 FPGA 는 어디로 배선될지 모르지만(로직 활용도에서 우수) CPLD는 가운데에서 양쪽 블록들로 배선되기 때문에 ... 실험의 내용1) 실험 준비물HBE-Combo-II-SE 키트Xilinx ISE Design Suite2) 실험 내용AND 게이트를 Schematic 방법으로 디자인하여 실제의 FPGA
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 실험3. 직렬 및 병렬 다이오드 구조
    그래서 전반적인 회로 자체의 이해 이후의 실험은 보다 빠르게 진행할 수 있었다.또한 각 다이오드의 문턱전압을 이용하여 AND 등의 논리 게이트를 설계하였는데, 다이오드의 이러한 성질을 ... 양논리 AND게이트3.9 회로의 저항을 측정하라.R = 2.1 k ohmsb. ... 이용한다면 다양한 회로를 만들 수 있음을 깨달았다.문헌[전자회로 실험 11판]-PAGE \* MERGEFORMAT3-
    리포트 | 11페이지 | 9,000원 | 등록일 2022.10.01
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... 관련이론1) FPGAFPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1]넓은 평야 (
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • D 래치 및 D 플립-플롭, J-K 플립-플롭 예비레포트
    진리표 내용을 이용하여 회로가 무엇을 행할 것인지를 예견하고 회로를 구성하여 확인하고 관찰 내용을 요약하라.(4) 토글 모드를 응용하는 카운터도 있다. ... 스위치의 되튐에 의한 영향 제거 회로로 사용된 - 래치에 관한 관찰 내용을 보고서에 정리하라.- D 래치(4) 그림 15-4에 보인 것처럼 조종 게이트와 인버터를 추가하여 기본 - ... J 단자에 논리 1을 K 단자에는 논리 0을 연결하여 셋 모드로 설정하라.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.10.09 | 수정일 2022.10.14
  • 홍익대_디지털논리회로실험_1주차 예비보고서_A+(분반 보고서점수 1등)
    디지털 논리실험 및 설계 1주차 예비보고서실험 준비1.1 AND 게이트7408의 datasheet를 읽는 법을 간단하게 서술하고 기본 실험 (1)의 회로를 어떻게 결선하여야 하는지 ... VCC에서 GND로 어떠한 구성 요소 없이 바로 전류가 흐르기 때문에 과열될 수 있고 화재나 폭발 가능성이 있다..1.3 기본 실험 (4)의 회로를 구현하시오.1.4 응용 실험 (2 ... )의 회로를 구현하시오.1.5 응용 실험 (3)의 결과를 예상해보고 본인의 생각을 서술하시오.VCC와 GND를 결선하지 않으면 칩이 작동하지 않아 실험을 진행할 수 없을 것이다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 논리회로 실험 10주차 Counter 예비보고서
    실험 이론지금까지 다루었던 논리회로들은 입력의 조건에 따라서 출력이 결정되는 “조합 논리회로”들이었다. ... 디지털 논리회로 설계 및 실험예비보고서주제 : Counter소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 실험 목적2. 실험 이론3. 실험 준비4. 실험 기기 및 부품5. 주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1.
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.22
  • Diode(직렬/병렬 연결, Logic) 결과보고서[인하대 기초실험2 전자과]
    Diode Logic Circuit다이오드로 구현한 AND, OR 논리 회로를 참고하여 Z = AB + CD를 나타내는 논리 회로를 다이오드 6개를 통해 설계하고 Truth Table ... -40.310-9.99-1015-4.99-50.411-11.0-111: 실험 결과를 보면 값이 증가함에 따라 값이 증가하지만 그 값이 0에 가까운 매우 작은 값임을 알 수 있다.4) ... 그리고 Arduino UnoR3의 GND 단자를 접지로 사용했다.• 다이오드로 구현한 AND, OR 논리회로 동작 설명: Fig 5와 같이 A, B, C, D에 입력되는 신호가 모두
    리포트 | 10페이지 | 1,000원 | 등록일 2022.08.27
  • 디지털 실험 8장(디코더를 이용한 조합논리) 결과 보고서
    실험 8장 디코더를 이용한 조합논리1. 실험목적-디코더를 이용한 다중 출력 조합 논리 회로를 구현한다.2. ... 부호기로서 부호화 작업을 수행한다.4*2 인코더의 회로와 진리표- 인코더와 디코더의 관계 ... 실험순서1) 그림 8-6은 부분적으로 완성된 회로이다. 74LS139A는 상태 디코더이고, 부논리 NOR 게이트로 그려진 AND 게이트는 출력 논리를 형성한다.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • 논리회로설계 실험 기본게이트 설계
    논리회로설계 실험 예비보고서 #1실험 1. 기본게이트 설계1. 실험 목표CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 ... 코드4) Wave Form4.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 논리회로 실험 6주차 ALU 예비보고서
    실험 목적4-bit 논리연산장치 (ALU: Arithmetic Logic Unit)에 대해 이해한다.2. ... 실험 목적2. 실험 이론3. 실험 준비4. 실험 기기 및 부품5. 주의 사항6. 실험 과정 및 예상하는 이론적인 실험 결과7. 참고 문헌1. ... 디지털 논리회로 설계 및 실험예비보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • <디지털회로실험>인코더,디코더와 코드변환기
    아날로그 데이터로 변환하는 역할을 수행하는 논리회로로, N비트 2진 입력코드를 M(M≤2N)개의 출력선 중의 단 한 개와만 연관시키는 회로이다.디코더는 active high와 active ... 실험 과정실험 1) 2X4 디코더-그림과 같이 회로를 결선한 후, 출력을 살펴보기 위해 D0, D1, D2, D3에 각각 LED와 저항을 연결한다. ... 직접 2X4 디코더와 BCD-to-10진 디코더 회로, BCD-to-Excess-3 코드변환기를 구성하는 것이다.실험 1. 2X4 디코더먼저, 디코더는 인코더의 역기능인 디지털 데이터를
    리포트 | 8페이지 | 2,000원 | 등록일 2023.10.24
  • 동기식,비동기식 카운터 예비레포트
    카르노 맵이 완성되면 맵으로부터 논리를 찾아낼 수 있다. 이 논리를 이용하여회로를 구성한다. ... 실험 장비-Two 74LS76A dual J-K flip-flops-7408 quad AND gate4. ... 이러한 변화를 일으키는 논리 요소들을 karnaugh map에 옮길 것이다. 이 경우 조합 논리회로에서 행하였던 karnaugh map과는 다른 의미를 갖는다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    전체적인 설명(블록도, 플로우 차트)4. 회로도 설명 / 시뮬레이션 및 실험결과 분석5. 실험사진, 동영상6. ... 논리게이트와 버튼을 이용한 회로의 조작, 7-Segment와 카운터를 이용한 점수판 표시 등 기본적인 소자들을 잘 활용하면 회로를 구현할 수 있을 것 같다는 생각이 들었고, 이를 통해 ... Term Project 보고서두더지 잡기디지털회로실험및설계목 차1. 주제, 팀원, 역할분담 / 동기 및 목적2. 관련 이론 / 사용한 부품3.
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 9주차 예비 - Multiplexer
    기초회로실험1전자공학부9주차실험제목 : Multiplexer실험목적 : (1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.(2) 2개의 4-입력 Multiplexer를 ... SN74151, SN74153실험(1) 와 같이 회로를 연결하고 진리표를 작성하라. ... 합성도 가능하다.(2) 멀티플렉서를 이용한 논리회로 : XOR를 멀티플렉서로 구성하는 경우는 위의 식에서 S=0,D _{0}=0,D _{1}=1,D _{2}=1,D _{3}=0으로
    리포트 | 6페이지 | 1,500원 | 등록일 2020.10.01
  • 실습 10. 7-segment_Decoder 회로 설계
    먼저 Decoder에 4비트 입력이 주어졌을 때 적절한 조합논리회로를 거쳐 출력값들을 제공한다. ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜 ... 결론이번 실험의 목적은 7-segment의 type을 구분하고, Decoder를 이용한 구동회로를 설계함으로써 그 동작을 이해하는 것이 목적이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • PLC, 시퀀스 제어방식(자기유지회로,인터록회로,타이머) 실험 보고서
    실험 기본 이론공장자동화(FA : Factory automation)의 규모와 수준이 확장됨에 따라서, FA공정제어장치에 의해서 이루어지는 기능(입력과 출력 신호 사이의 논리적 연결기능 ... )입니다.간단한 논리회로(AND, OR, NOT, NOR, NAND등)로부터, 거의 모든 FA공정제어 분야에서, 급속한 속도로 PLC가 기존의 릴레이 제어장치를 대체되어 다양한어플리케이션에 ... 릴레이 회로 실험은 이러한 것들을 실험 결과에서 설명했듯이 릴레이 회로의 기능을 알게 해주는 실험이었다.
    리포트 | 9페이지 | 3,000원 | 등록일 2022.06.27 | 수정일 2022.06.28
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서3
    불 대수식과 드 모르간 법칙을 이용하여 다양한 회로를 고안할 수 있다.2) 실험이론- 논리 회로에서의 연산: 디지털 컴퓨터들은 다양한 정보처리 작업을 수행한다. ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... subtracter, FS)라고 한다.- 반가산기 (Half adder): 2개의 2진수 X, Y 논리변수를 더하여 합(Sum)과 캐리(Carry)를 산출하기 위한 조합 논리회로이다
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.24
  • 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7실험 7. 카운터 설계1. ... 아래의 그림은 본 예비실험에서 사용되는 비동기식 업카운트의 상태도와 논리회로도이며, 4비트의 2진 카운터이다. 0부터 클록의 수가 증가하면 15까지 증가하게 되고, 16개의 상태를 ... 지녀, mod-16 카운터로도 불린다.4비트 2진 업 카운터의 상태도4비트 2진 업 카운터의 논리회로(4) 링 카운터- 첫 단 플립플롭의 출력은 2단으로, 2단 플립플롭의 출력은 3단으로
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대