• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 61-80 / 3,568건

  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 4담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 171. ... Introduction지금까지의 실험은 하나의 산술연산이나 논리연산만 계산하는 코드를 구성했다. ... 이번 실험은 state를 받아서 여러 가지 산술연산과 논리연산, 시프트연산을 할 수 있는 코드를 구성하는 것이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 전자공학실험1 실험4장 결과보고서 : 논리게이트의 특성 및 연산회로
    결과보고서전자공학실험논리게이트의 특성 및 연산회로조원 :담당교수 :실험일자 : 2012.4.6제출일자 : 2012.4.13.1.실험목적논리게이트는 디지털 회로를 구성하는 기본 단위이다 ... [그림4.17][그림4.18]그러므로 두 회로는 서로 같다.4.실험결과 평가 및 반성이번실험은 마침 딱 실험 전 시간에 했던 논리회로 내용과 거의 일치해서예비보고서를 쓰면서도 자신이 ... 그리고 논리식을 조합논리회로로 구현하고 실험을 통해 진리표를 얻어 본다.2.실험내용2.1 실험결과1)그림 4.7 회로구성.0V, 5V값을 가지는 1kHz 구형파를 입력, Vi에 연결.Vi와
    리포트 | 6페이지 | 1,000원 | 등록일 2013.01.31
  • 논리회로실험 - 제 3장 4bit Carry Lookahead Adder를 이용한 가산기 설계 결과 보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 3담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 101. ... Carry Generator의 Gout, Pout 값이 그대로 Gout,Pout으로 출력[그림 4] 4bit CLA(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 ... Design(1)어떠한 회로를 설계할 것인가-4bit Carry Lookahead Adder1)우리가 오늘 설계할 가산기이다. 크게 세 가지로 나눠서 설계를 해야한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 논리회로설계실험 1bit 비교기, 4bits 비교기
    < 1bit 비교기, 4bits 비교기>1. ... HDL 코드 1) 1bit 비교기 : Behavioral Modeling동작 : 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로.1비트 비교기는 두 입력이 같으면 ‘1’ ... 을 출력하고, 다르면 ‘0’을 출력하는 회로.library ieee; use ieee.std_logic_1164.all;entity onebit isport(a, b : in std_logic
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 아주대 논리회로실험 예비4-멀티플렉서와 디멀티플렉서
    실험 4. 멀티플렉서와 디멀티플렉서( Multiplexer & Demultiplexer )1. ... 예를 들어 아래 그림은 4-to-1 멀티플렉서 회로이다. ... 대치되어 같은 기능을 수행한다.(3) Active High 와 Active low논리회로에서 사용하는 신호에는 active high 와 active low로 구분하기도 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.04
  • vhdl을 이용한 4비트 가감산기 설계(논리회로설계실험)
    PurposeFull Adder 4개를 직렬로 연결하여 4-bit 감가산기를 설계한다. 설계를 통하여 감가산기 입력에 따른 출력 특성을 이해할 수 있다.2. ... 그 후 component 명령어를 사용하여 앞서 설계한 전가산기를 4비트 감가산기 코드에 component 하였다. 4비트 감가산기 설계 이후 testbench 코드를 이용하여 ... Problem Statement4비트 감가산기를 설계하기 위해서 먼저 전가산기를 설계할 수 있어야 한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • [공학]실험 6. 4비트 산술논리회로와 시뮬레이션
    실험 6. 4비트 산술논리회로와 시뮬레이션예비 보고서에서 실험 방법 1의 내용 중, 1adder 생성시에 XOR2 gate를 XNOR2 gate로 잘못 사용해서 구현 하였다. ... 실험 8.의 Simulation 결과를 인쇄하고, 의 출력 및 연산 기능을 기술하시오. 4비트 산술논리회로에 대한 기능 및 동작 결과동작 선택출 력연산 기능S2S1S0Cin0000F ... BXOR111xF = A보수이 회로는 S2=0 일 때, S1, S0, Cin의 값에 따라 4비트 산술연산회로로써 작동하고, S2=1 일 때는 4비트 논리연산회로로써 작동한다. 4비트
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.20
  • 실험 6. 4-bit 산술논리회로와 시뮬레이션
    실험 6의 심볼을 이용하여 의 4비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(a)회로도 (b)심볼8. ... 실험 6. 4-bit 산술논리회로와 시뮬레이션목적1. ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.2. MyCAD의 사용법을 익힌다.3. ... BXOR11E = A보수 1비트 논리 연산회로2. 논리 연산회로 시뮬레이션본 실험에서는 논리 연산회로의 동작 확인을 위하여 논리 연산회로 시뮬레이션을 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.12.20
  • 아주대논리회로실험 4장.멀티,디멀티플렉서 예비(기본구성+빵판+예상결과)
    실험에서는 논리소다.다음은 2개의 입력 A와 B 중에서 하나를 선택하여 출력 Y에 연결하는 2x1 (2 input, 1 output) 먹스의 회로, 논리식, 그리고 진리표이다.SY0B1A2개의 ... support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험교수명 ... 예비 16조 허성인실험7장 결과 16조실험 4. 멀티플렉서와 디멀티플렉서(16조)I.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • 논리회로) 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기2. ... 실험 목적 - 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기를 직접 설계한다.3. ... 실험 내용 Select Bit가 0일 때 가산기, 1일 때 감산기로 동작하는 가/감산기를 설계한다. 가/감산 연산은 2‘s Complement Numbers를 사용한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • [논리회로] 실험 4장 SR latch
    실험 4장 SR latch2. 이론이번장에서는 순서회로에 대하여 실험한다. 순서회로는 현재의 출력이 현재의 입력뿐만 아니라 과거의 입력 값에 의해서 결정된다. ... {그림 4. 제어입력을 가지는 SR 래치3. 회로도{{{{4. 실험부품2입력 NAND게이트 : 74002입력 NOR게이트 : 7402NOT게이트 : 7404와이어5. ... 실험 절차(1) 7402을 이용하여 그림5(a) 회로를 구성하라.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.07.23
  • 디지털논리회로실험 - 제 4장 De Morgen 정리와 Boolean Algebra
    논리 실험기? 오실로스코프 또는 디지털 멀티메터? 7408 (4조 2입력 AND Gate)? 7432 (4조 2입력 OR Gate)? 7400 (4조 2입력 NAND Gate)? ... 실험 과정, 회로도 및 타이밍 다이어그램그리고 예비실험 및 조사2-1. ... 그리고 디지털 멀티 메터의 설명과 사용법 그리고기기 안의 회로도 구성을 알아 보고, 어떻게 동작 하는지 공부 하였습니다.^^ 이상입니다.디지털회로실험
    리포트 | 11페이지 | 1,500원 | 등록일 2008.11.28
  • 서강대학교 전자공학과 4학기(2학년 2학기)의 디지털논리회로실험 레포트입니다
    OR logic의 특성과 활용 방법을 익힌다.(4) FPGA를 이용해 간단한 논리 회로를 구현하고 동작을 확인한다.1. ... .(4) 표시장치(display devices)의 동작원리를 이해한다. ... 기본적인 디지털 회로 이론을 복습할 수 있었고 실제적인 회로 구현을 통해 그 이해도도 더 높일 수 있었다고 느꼈다.
    리포트 | 9페이지 | 2,000원 | 등록일 2011.03.27 | 수정일 2021.09.20
  • [디지털 논리 회로 실험]디지털 논리 회로 실험,실습(Half/Full Adder, 4-bit Adder/Subtracter)
    디지털 논리 실험()-결과 보고서-Chap 6 7담당조교 *** 조교님전기전자공학부044**** ***044**** ***1.실험 결과Chap6. ... Half/Full AdderChap7. 4-비트 Adder/Subtracter2.실험분석 및 고찰Chap6. ... 따라서 최대 동작 주파수는 1/12.4ns=80.65Mhz이다.Chap7. 1번 자리올림 예견법(Carry Look Ahead)과 그림 7-2에서 제시된 회로와 비교하여 장단점을 논하시오.자리올림
    리포트 | 4페이지 | 2,000원 | 등록일 2005.10.17 | 수정일 2023.05.27
  • 실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증
    실험 6의 의 4비트 산술논리회로를 설계한다.2. 1.에서 설계된 회로를 심볼화 시킨 후, 와 같이 입/출력 버퍼를 추가하여 구성하시오. 4비트 산술논리회로(버퍼 추가)3. ... 실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증목적 ;1. MyCAD에서 합성한 회로를 FPGA로 구현하는 방법을 익힌다.2. ... 실험 6에서 설계한 4비트 산술논리회로를 Xilinx FPGA 칩으로 구현하여 동작을 검증한다.원리 ;IC 기술의 발달에 의해 더 많은 소자가 단일 칩에 집적화됨에 따라 디지털시스템은
    리포트 | 3페이지 | 무료 | 등록일 2006.12.20
  • 논리회로실험 순차회로 설계
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표순차회로의 기본 회로인 Latch와 Flip ? ... 고찰이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다. ... 게이트 또는 NOR게이트를 이용하여 회로를 구성한다.- 논리 회로로 구성되었기 때문에 논리회로에 준하는 빠른 동작속도를 얻을 수 있고 플립플롭으로 활용 가능하다.- 대표적인 래치로써
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... 1은 -부호를 나타낸다.2) Full adder전가산기(Full adder)는 기본적으로 1비트의 2진수 3개를 더하는 논리회로이며 3개의입력과 2개의 출력으로 구성되어 있다. ... Sources & Results① Test 1 - 기초 조합논리회로1) VHDL sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test1
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, 7segment 실험결과보고서
    실험 목적Decoder를 이해하고 7segment decoder 회로를 설계할 수 있다.Chapter 2. ... 대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 한다.ü Decoder - 2진수 입력값을 10진수 값으로 변환하여 출력한다.- 입력값 ... 아래 행들도 마찬가지로 비교해보면 a와 b의 십진수의 값이 d 옆의 숫자와 같은 10진수로 표현될 때, 1이 됨을 알 수 있다.- and게이트와 not 게이트를 이용하여 논리회로
    리포트 | 10페이지 | 2,500원 | 등록일 2023.02.28
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, Encoder 실험결과보고서
    실험 목적Decoder와 Encoder를 이해하고, 2x4 decoder, 4x2 encoder, 3x8 decoder를 논리회로로 설계할 수 있다.Chapter 2. ... 관련 이론ü CodeCode는 부호로, 정보를 특정한 형태로 표현하는 규칙을 의미한다.대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 ... 아래 행들도 마찬가지로 비교해보면 a와 b의 십진수의 값이 d 옆의 숫자와 같은 10진수로 표현될 때, 1이 됨을 알 수 있다.- and게이트와 not 게이트를 이용하여 논리회로
    리포트 | 8페이지 | 2,500원 | 등록일 2023.02.28
  • Full adder VHDL 실습보고서(전가산기)
    Full Adder(4비트 전가산기)그림 2. 4bit full_adder논리회로도앞서 이야기 했던, Full Adder를 비트수만큼 직렬로 이어붙인 4bit Full Adder이다 ... 처음에 들어오는 carryin과 m의 xor 연산은 2’s complement를 만들 때 마지막에 더해주는 1의 역할이 된다.그림3. 4bit adder/subtractor논리회로도6 ... 이론으로만 알고 있었던, 논리회로들을 직접 코딩을 통해 실제 값을 산출하여, 나오는 파동(wave)을 관찰하고, 값들이 잘 나오는지, 오류는 없는지를 확인한다.
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대