• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 81-100 / 3,568건

  • 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    실험 이론1) Decoder디코더는 부호화된 입력을 부호화된 출력으로 변환하는 다중입력, 다중출력을 하는 논리회로로 일반적으로 입력의 개수는 출력의 개수보다 작다. ... 회로 결선도- 실험1(2x4 Decoder), 실험2(BCD to Decimal Decoder)- 실험3(Encoder Excess-3 code), 실험4(8x3 Priority Encoder ... 실험 과정- 실험1(2x4 Decoder)1) 다음 회로도와 같이 74HC04, 74HC08를 준비하여 Bread Board에 연결한다.2) 입력 SW1, SW2를 각각의 IC 입력
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 논리회로설계실험_디코더/엔코더 레포트
    논리회로설계 실험 결과보고서 #4실험 4. 디코더, 엔코더1. ... 실험 결과- 실험 1.2x4 디코더를 설계하시오.1) 진리표InputOutputA _{1}A _{0}Y _{3}Y _{2}Y _{1}Y _{0}0*************0100111000Y ... 실험 목표디코더와 엔코더의 원리를 이해하고 2x4 디코더와 4x2 엔코더를 응용하여, 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션을
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 아주대 논리회로실험 실험10 DAC & ADC converter 예비보고서
    following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2020.11.15과목명: 논리회로실험교수명 ... 실험 후 4)실험을 반복한다.- 실험2(ADC)1) 위의 실험 1회로의 출력에 비교기를 부가한다.2) 1kHz를 single pulse로 바꾸고 counter를 0으로 리셋시킨다.3 ... 회로 결선도- 실험1(DAC), 실험2(ADC)5.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 논리회로설계실험 5주차 Encoder 설계
    이러한 과정에서 논리회로설계에서 중요한 Encoder에 대해 더욱 깊게 이해할 수 있었다. ... 1) Objective of the Experiment(실험 목적)이번 실습은 4:2 Priority encoder를 behavioral modeling, dataflow modeling ... 2_P, C_4_TO_2_P, D_4_TO_2_P을 넣어주었다. output으로도 위에서 선언한 OUTPUT1_4_TO_2_P_?
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 예비 이론(1) 디코더- 데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다.- n비트의 2진 코드를 최대 2^n개의 서로 다른 정보로 바꾸어 주는 조합논리회로이고 ... 고찰이번 예비실험에서는 디코더와 엔코더에 대해 알아보았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    디지털공학실험 ? 6장, 가산기와 ALU 조합논리회로 응용 예비보고서1. 실험목적가. 반가산기와 전가산기의 원리를 이해한다.나. ... 상용화된 4비트 ALU를 이용하야 두 수의 가감산을 실험함으로써 ALU의 동작과 응용을 확인한다.2. 이론가. ... 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.다. 상용 ALU(산술논리 연산장치)의 기능을 이해한다.라.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식 (프로젝트 관련)
    실험 전자회로실험 자동화 회로 실 무 전력전자회로 실 험 제도 및 CAD 3 차원 CAD 디지털 논리회 로 컴퓨터 프로그래밍 E - CAD 창작과제 창의적 공학설 계 창의과제기초 ... 높임4-2 교과목프로젝트 헤드폰 만들기 이어폰 연장선을 사용하여 분해 / 조립 가능 휴대성 증대 ! ... 창의과제응용 윈도프로그래밍 기 초 마이크로컨트롤러 기 초 PLC 로봇용 센서 로보틱스 전공물리 윈도우 프로그래밍 응용 마이크로컨트롤러 응 용 모터 실험교과목에 대한 개인적 견해 (
    ppt테마 | 10페이지 | 1,500원 | 등록일 2022.01.11 | 수정일 2022.01.16
  • 고등학교 물리학 과목별 세부능력 및 특기 사항(과세특) 예시
    실험을 통해 학습한 내용을 토대로 논리적으로 결론을 도출한 후, 자신감 있고 조리 있게 발표함. ... 실험을 통해 학습한 내용을 토대로 논리적으로 결론을 도출한 후, 모둠 구성원을 대표하여 자신감 있고 조리 있게 발표함. ... 회로와 휘트스톤 브리지 및 사다리 형태의 다양한 저항 회로에 대한 풀이를 위계적으로 가독성 수업 자료를 제작함.
    서식 | 3페이지 | 2,000원 | 등록일 2024.07.05
  • 현대자동차 2021년 전자제어시스템 합격PT
    웨어러블 마우스를 제작하게 되었고, 이후 SW개발자를 확신하게 됨너무 늦은 시기(4학년 2학기)에 진로를 정한 탓에 지식과 경험이 부족하였음논리회로 실험디지털 신호처리 선형대수물리전자물리2및 ... 자료구조 및알고리즘 디지털 시스템설계 컴퓨터 구조 전자회로 실험 논리회로 실험 반도체 소자전자공학 응용실험 디지털 공학 컴퓨터 네트워크 회로이론 SOC구조 및설계-프로그래밍 및실습기초회로 ... 실험 회로망 해석SW개발자를 꿈꾸게 된 계기‘전자공학 응용실험’ 수업 당시, 프로그래밍을 통해 FPGA보드를 제어하며 큰 성취감을 느끼게 되었음전자회로 전자기학이를 계기로 졸업작품으로
    자기소개서 | 5페이지 | 5,000원 | 등록일 2022.10.18
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    .③ 7483 IC 소자를 이용하여 4비트 가산기를 구성하고 실험을 통해 논리 동작을 확인하고 이해한다.④ 6번 IC7483을 이용한 회로실험에서와 같이 구성하고 회로에서 감산기 ... 결과분석이번 실험을 통해 프로그래머블 가/감산기 회로에 대한 논리 동작, 회로도 등 자세히 살펴 볼 수 있었다 A 입력의 반전 유무에 따라 가산기와 감산기로 동작한다는 것을 실험적으로 ... 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.③ 7483 IC소자를 이용하여 4비트 가산기를 회로도에 맞게 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • 전기및디지털회로실험 실험3 결과보고서
    전기및디지털회로실험결과레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2실험 결과2결과 보고서9실험 고찰10실험실험 3. 부울대수와 논리조합2. ... 이를 통해 XOR, XNOR 게이트를 기본 게이트만으로 표현할 수 있고, 반대로 기본 게이트만으로 구성된 논리회로도를 XOR과 XNOR 게이트로 변형할 수 있음을 알 수 있다.(4) ... -실험 4번 문항 결과분석실험 시간의 부족으로 실험을 진행하지 못했다.(5) 예비보고서 5항의 두 회로를 구성하고 실험을 통해 진리표를 작성하라.예비보고서5 간소화 전 회로예비보고서5
    리포트 | 10페이지 | 1,000원 | 등록일 2024.03.12
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 결과레포트 [참고용]
    실험 명부울대수와 논리조합2. 실험 개요부울대수의 기본 공리와 정리를 이해하고 논리회로로 표현하여 간단화하는 방법을 익힌다. ... 고찰본 실험을 통해 확인 할 수 있는 것은 회로를 부울대수로 나타내고 부울대수조작을 통해 간단화 한 후 다시 회로로 나타내어 논리회로를 간단화 할 수 있다는 것이다. ... 이를 위해 실험 2, 3항을 통해 부울대수조작으로 게이트를 간단화하고 치환했을 때, 기존 회로의 기능을 그대로 수행하는 지를 비교분석 및 확인했고, 실험 4~7항을 통해 게이트를 간단화하게
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.01
  • 전기및디지털회로실험 실험6 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기6예비보고서 문제풀이6실험 순서7참고 문헌16실험실험 6. ... 논리조합회로의 설계2. 실험 개요논리게이트의 조합으로 복잡한 논리적 함수관계를 구현하는 연습을 행한다. ... 부울대수 기본법칙들을 적용하여 단순화시킨다.4단계 : 단순화된 논리식에 해당하는 논리회로도를 작성한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2023.06.30
  • 5주차 결과 보고서 19장 논리회로 응용 및 Karnaugh Map (1)
    )1111(4.761V)19장 논리회로 응용 및 Karnaugh Map 실험 보고서실 험 일학 과학 번성 명논리회로 간략화a) 주어진 부울 대수식 (8)을 부울 다항식을 이용하여 간략화 ... 19장 논리회로 응용 및 Karnaugh Map 실험 보고서논리식의 간략화 및 논리회로 구성 실험F= {bar{X}} {bar{Y}} {bar{Z}} + {bar{X}} YZ+ {bar ... 위하여 2 입력 AND, OR, NOT 게이트를이용하여 논리 회로를 설계하시오.19장 논리회로 응용 및 Karnaugh Map 실험 보고서실 험 일학 과학 번성 명d) 간략화한 부울
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.14
  • 전기및디지털회로실험 실험6 결과보고서
    .(4) 예비보고서 4항의 회로를 결선하고 그 결과가 설계요구조건에 부합하는지 확인하라. ... *실험 8번은 실험 지시사항으로 진행하지 않아 생략한다.4. ... 전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 개요2실험 결과2결과 보고서13실험 고찰14실험실험 6. 논리조합회로의 설계2.
    리포트 | 15페이지 | 2,500원 | 등록일 2024.03.12
  • 건국대학교 전기전자기초실험2 트랜지스터1 레포트
    (트랜지스터 MPS2222A)그림 2-1 논리 게이트 회로 1그림 2-2 논리 게이트 회로 2그림 2-3 논리 게이트 회로 3그림 2-4 논리 게이트 회로 4실험을 위하여 구성한 회로의 ... 트랜지스터 논리 게이트 실험그림 2-1에서 그림 2-4까지의 회로를 구성하시오. ... 출력 신호가 Low가 되는 것으로 보아 AND 게이트임을 알 수 있다.그림 2-4 실험 결과입력 VA입력 VB출력 VY0V0V0V5V5V0V5V5V[2-4 논리 게이트 회로 4 :
    리포트 | 16페이지 | 7,000원 | 등록일 2024.09.13 | 수정일 2024.09.14
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 예비레포트 [참고용]
    실험 명부울대수와 논리조합2. 실험 개요부울대수의 기본 공리와 정리를 이해하고 논리회로로 표현하여 간단화하는 방법을 익힌다. ... (6) 예비보고서 6항의 회로와 단순화된 회로를 구성하고 실험을 통해 진리표를 작성하라.ABF이론값측정값오차000010100111(7) 예비보고서 7항의 두 회로를 구성하고 실험을 ... 논리의 조합과 단순화: 부울대수가 주어졌을 때 회로도를 나타낼 수 있는 것처럼 논리 회로도를 부울대수로 나타낼 수 있다.
    리포트 | 11페이지 | 1,500원 | 등록일 2024.01.01
  • 전기및디지털회로실험 실험3 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번이름 :목차실험실험 개요이론 조사4. 실험 기기예비보고서 문제풀이실험 순서참고 문헌실험실험 3. 부울대수와 논리조합2. ... 실험 개요(1) 부울대수의 기본 공리와 정리를 이해한다.(2) 부울대수식을 논리회로로 표현하고 간단화하는 방법을 익힌다.(3) 드모르강의 정리를 이해하고 부울대수에 활용하는 방법을 ... (4) 예비보고서 4항의 원래 수식과 단순화된 수식을 각각 구성하고 실험을 통해 진리표를 작성하라.예비보고서4 간소화 전 회로예비보고서4 간소화 후 회로입력예상값실제값오차율입력예상값실제값오차율ABCDXXXABCDXXX0000000000000100001000100001000011000110010000100001010010100110001100011110111110000100001001010010101001010010111101111100011000110101101011100111001111111111
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 결과보고서
    수치를 포함하여 요약한다.이번 9차 실험실습에서는 입력 조합에 따라 출력이 결정되는 조합 논리 회로를 설계하는 방법을 익히고, 조합 논리 회로의 가산기 회로 중 전가산기 회로를 설계하였다 ... 아날로그 및 디지털 회로 설계 실습결과보고서설계실습 9. 4-bit Adder 회로 설계소속중앙대학교 창의ICT공과대학 전자전기공학부학수번호실험 조x조조원 이름작성자실험날짜2023.11.16제출날짜2023.11.234 ... 잘 되었다면 그 근거는 무엇이며 잘 안되었다면 그 이유를 생각하여 서술한다.논리 게이트를 이용하는 실험실습은 정확한 전압 값을 측정하기 보다는 논리값인 1과 0에 해당하는 LED의
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 건국대학교 전기전자기초실험1 12주차 결과보고서 A+
    AND, OR, NOT 게이트 논리회로 실험실험에서는 미분기 회로의 동작을 실험적으로 확인하고 주파수 특성을 확인하고자 한다. ... NOR게이트를 이용한 OR, NOT 게이트 논리회로 응용실험실험에서는 NOR 게이트 IC소자를 이용하여 OR, NOT 게이트 논리회로를 구성하고 그 특성을 확인한다. ... 는 1의 논리값을 가진다고 하면, 실험 (2)와 (3) 모두 A,B 가 1일때만 출력 논리값이 1을 가지는 AND 게이트의 역할을 확인할 수 있었다.OR 게이트다음 회로는 다이오드와
    리포트 | 29페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대