• 통큰쿠폰이벤트-통합
  • 통합검색(3,568)
  • 리포트(3,408)
  • 자기소개서(107)
  • 시험자료(31)
  • 방송통신대(11)
  • 논문(7)
  • ppt테마(2)
  • 서식(1)
  • 이력서(1)

"4논리회로 실험" 검색결과 21-40 / 3,568건

  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서4
    이미 설계된 멀티플렉서와 디멀티플렉서 칩으로도 실험을 할 수 있을 뿐 아니라, 기초적인 논리게이트를 통해멀티플렉서와 디멀티플렉서와 같은 효과를 갖는 회로를 만들 수 있다.4. ... 회로를 '부논리'회로라고 해서 서로 상보적으로 구분한다. ... :조교명:분 반:학 번:성 명:전자공학부실험4.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서4
    그러므로 세 번째 실험회로와 네 번째 실험회로는 서로 대조되는 회로이다. 실험4는 Active Low이고 실험3은 Active High라고 할 수 있다. ... 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 세 번째 실험도 마찬가지이다. 마지막 네 번째 실험에서는 1x4 디멀티플렉서 IC인 74HC139를 이용해 회로를 구성해 보았다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 결과 보고서
    기본 게이트로 3 비트 비교기를 논리 회로를 설계하여 그려라.3. 실험 노트- 별도 첨부4. ... 디지털논리회로실험(EEE2052-01)서강대학교 전자공학과2017년 2학기 결과레포트실험4. Multiplexer, Demultiplexer and Comparator1. ... 기본 게이트로 1-to-4 demultiplexer의 논리 회로를 설계하시오.4. 기본 게이트로 Exclusive-OR 소자를 이용하여 설계하여라.5.
    리포트 | 18페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    디지털논리회로실험예비 보고서[4주차]실험 4. Multiplexer, Demultiplexer and Comparator1. ... [그림 19][그림 20]4) Exclusive-OR 회로 구현실험에서는 [그림 11]의 회로 대신 NAND gate를 사용하여 [그림 21]과 같이 회로를 구현한다. ... [그림 17][그림 18]시뮬레이션 결과로부터 [그림 17]의 회로가 multiplexer로 잘 동작함을 확인할 수 있다.3) 1-to-4 demultiplexer 구현실험에서는 3
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [논리회로설계실험] 1bit full adder & 4bit full adder (logic gate 구현)(성균관대)
    알 수 있다.이번 실험에서는 1-bit full adder의 경우 dataflow, gatelevel로 구현하였다. ... 1bit full adder를 모듈화하여 병렬로 4개 연결한 후 새로운 A[n], B[n]의 값을 입력 받고 이전의 full adder연산에서 남은 Cin의 연산을 통해 최종적으로 ... 특히 full adder를 병렬로 연결할 시, 4-bit 뿐만 아니라 여러 개의 Full adder를 연결함으로써, half adder와 달리 모든 비트수에 대해 사용 가능하다는 것을
    리포트 | 7페이지 | 1,500원 | 등록일 2024.06.07
  • 서강대학교 디지털논리회로실험 4주차 - Multiplexers, Three-state devices and Exclusive-OR
    실험 이론● MultiplexerMultiplexer는 여러 입력신호 중 하나를 선택해 단일 출력신호로 내보내는 회로로서 간단하게 MUX라고 하기도 한다.3. ... 결과 분석1) STEP 1~2이 실험은 Three-state device의 성질, 그리고 동작을 확인하기 위한 실험으로써, 두 입력 신호를 각각 Three-state buffer의 ... 실험 목적Multiplexer, Three-state, 그리고 Exclusive-OR gate의 동작원리와 활용방법을 이해한다.2.
    리포트 | 21페이지 | 1,500원 | 등록일 2024.08.17
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    디지털논리회로실험 4주차 실험 보고서목적-Multiplexer의 동작원리와 활용방법을 이해한다.-Three-state 소자의 동작원리와 활용방법을 이해한다. ... S1S0’ + S2S1’S0 + S2S1S0 이다.STEP 14:step13에서 볼 수 있듯이, 구현하고 싶은 Boolean function이 있다면 그111010111101표20-1 논리회로에 ... 1회로의 진리표STEP 9:그림9-1 M4_1E를 이용한 회로그림9-2 그림9-1의 회로를 구현그림9-1과 같은 회로를 구현했다.먼저 DIO1, DIO0이 00 일 때, Digital
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    디지털논리회로실험 8주차 실험 보고서목적-Shift registers의 구조와 동작원리를 이해한다. ... 그렇다면 곱셈의 과정은 LP/MPLY의 LSB와 MCND를 논리적으로 곱한 값과 HP를 곱해서 5-bit F(4:0)를 연산한 후에, F(4:1)은 HP로 load시키고, F(0)는 ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 논리회로실험 예비 4
    support them in following this code of ethics.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과과목명: 논리회로실험교수명 ... 실험 목적- 멀티플렉서(Multiplexer ? MUX)와 디멀티플렉서(Demultiplexer ? DMUX)의 회로를 구성하고 입력과 출력을 확인하여 이를 이해한다.2. ... 이를 이용하여 멀티플렉서를 구현할 수 있다.실험이론에 적은 내용이나, 아래의 회로도를 보나 도 동일할 것으로 예상된다.입력출력ENS _{1}S _{0}D _{3}D _{2}D _{1
    리포트 | 11페이지 | 1,500원 | 등록일 2016.09.24 | 수정일 2020.09.23
  • 논리 회로 실험 과제 (4장)
    논리 회로 실험 과제 (01-class)실험 4 : 가산기와 감산기?담 당 교 수 :?과 목 명 : 논리회로실험?학 과 :?학번 / 이름 :?제 출 일 :1. ... 실험방법- 교재에 나온 XOR(7486), AND(7408), NOT(7404), OR(7432), 그리고 4비트 가산기(7483) IC를 이용하여 교재에서 주워진 회로를 브레드보드에서 ... 다만 첫 실험보다 훨씬 복잡한 회로이기에 회로를 구성함에 있어 제법 높은 집중력을 요하기 했다.
    리포트 | 6페이지 | 5,000원 | 등록일 2016.04.09
  • 아주대학교 논리회로실험 실험4 예비보고서
    실험 이론- 멀티플렉서 : 멀티플렉서는 여러 개의 입력선 중에서 하나를 선택하여 단일의 출력으로 내보내는 조합논리회로이다. ... 협력자가 전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부과목명: 논리회로실험1 ... 디멀티플렉서는 데이터 분배기라고도 불리며, 멀티플렉서와는 반대되는 연산을 수행하는 조합논리회로이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2019.02.20
  • 아주대학교 논리회로실험 실험4 결과보고서
    결선도를 차근차근 따라가 꼼꼼히 회로를 구성하여야 할 것이다.분석-앞에서 복잡한 회로구성에 익숙해 졌기 때문에 이번 실험회로 구성은 빠르게 할 수 있었다. ... 분석-우선 결선도도 제대로 그리고 갔지만 지금까지 짜왓던 회로들보다 훨씬 복잡하여 회로를 완성했지만 제대로 결과값이 나오지 않았다. ... 모든 진리표를 실험했고 올바른 Y값을 출력했다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.02.20
  • 논리회로실험 실험4 예비보고서
    수를 실험하여 Truth Table를 작성한다.4. ... 게이트)1) 논리 게이트란 디지털 회로를 만드는데 있어 가장 기본적인 요소입니다. ... 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학과제출일: 2015-09-11과목명: 논리회로실험교수명
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.09
  • 논리회로실험. 실험4. Multiplexer & Demultiplexer
    논리 회로에서 신호의 전달과 선택과정에서 직접적으로 연결되어 있고 매우 필수적인 요소인 멀티플렉서와 디멀티플렉서의 개념과 회로의 구성 작동방식에 대해 공부하고 실험을 통해 진리표를 ... 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 2015.10.09과목명: 논리회로실험 ... 예비보고서 결선도와 실제 실험 회로의 비교- 7번핀이 출력 Y, 6번=D _{0}(흰선), 5번=D _{1}, 4번=D _{2}, 3번=D _{3} , 14번=S _{0}(노랑),
    리포트 | 9페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 논리회로실험 결과보고서4 Multiplexer & Demultiplexer
    실험 1은 4×1 Multiplexer 회로를 구성해보는 것이었다. ... 실험 2는 1×4 Demultiplexer 회로를 구성해보는 것이었다. ... (b)이 실험은 74HC139를 이용하여 1×4 Demultiplexer 회로를 구성해보는 것이었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험 예비보고서4 Multiplexer & Demultiplexer
    4. Multiplexer & Demultiplexer실험목적실험이론? ... Multiplexer: Multiplexer는 입력에 연결된 n개의 신호원 중에서 하나의 데이터를 출력으로 연결하는 디지털 스위치로써, MUX라고도 불린다.4 TIMES 1 Multiplexer의 ... Demultiplexer: Demultiplexer는 1개의 입력을 받아들여 n개의 출력선 중에서 하나를 데이터를 출력으로 연결하는 디지털 스위치로써, DEMUX라고도 불린다.4 TIMES
    리포트 | 8페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 논리회로실험_결과4
    이용하여 다음 회로를 구성한다.우리반은 실험(1)은 생략하고 실험(3)만 했는데 (1)과 (3)의 차이는 둘다 4X1 멀티플렉서이고 그래서 당연히 결과값도 같게 나오기는 하지만 실험 ... 디멀티플렉서(1) Enable을 갖는 1x4 디멀티플렉서를 74HC11과 74HC04를 이용하여 다음 회로와 같이 구성한다. ... 실험 4.
    리포트 | 2페이지 | 1,500원 | 등록일 2012.07.13
  • 논리회로실험 예비4
    demux 입력2개에 의해 4개의 출력으로 나누어 주며 2X4 디코더가 하나의 IC에 2개 들어있다.차이점 : 74HC138은 3개의 SELECT PIN 이 있는 1개의 디코더인 반면에 ... 칩이 active low에서 동작하는 bubble이 붙어있다고 할 수 있다. active low 신호는 Low일 때 그 신호가 가진 특정한 기능을 수행한다.74HC139dual 2x4
    리포트 | 8페이지 | 1,500원 | 등록일 2012.07.13
  • [논리회로실험] 실험4. ALU&Booth
    과 목 : 논리회로설계실험과 제 명 : 실험4. ... 여기서는 두 수를 더하고 빼는 것과 어떤 수에 1을 더하거나 빼는 것을 의미한다.② 논리연산 : 논리회로에서 배우는 연산이다. ... 상황은 4가지가 가능하고 각 상황에 따라 특정한 동작이 수행된다.
    리포트 | 31페이지 | 2,500원 | 등록일 2014.03.22
  • 아주대 논리회로실험 실험4 예비보고서 Multiplexer & Demultiplexer
    사회적 책임을 다하는 엔지니어로 성장시킨다.나는 위 교육목표를 숙지하여 공학교육인증을 이수하는데 최선을 다할 것을 서약합니다.학 부: 전자공학부제출일: 2015/04/03과목명: 논리회로실험교수명 ... : 이정원분 반: 5조학 번:성 명:실험 4Multiplexer & Demultiplexer실험목적멀티플렉서(Multiplexer)와 디멀티플렉서(Demultiplexer)의 작동원리를 ... 기반 전문성을 갖춘 발전적 정보통신인4. 윤리의식과 문화적 소양을 갖춘 정보통신인전자공학 프로그램 교육목표1.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대