• 통큰쿠폰이벤트-통합
  • 통합검색(918)
  • 리포트(857)
  • 시험자료(30)
  • 논문(8)
  • 자기소개서(7)
  • 방송통신대(6)
  • ppt테마(5)
  • 이력서(4)
  • 서식(1)

"Digital Architecture" 검색결과 321-340 / 918건

  • [건축]현대 건축 외피의 디지털 미디어화
    DIGITAL MEDIA OF CONTEMPORARY BUILDING SURFACE - 디지털 기술의 발달 - digital media 의 특성 DIGITAL MEDIA OF CONTEMPORARY ... DIGITAL MEDIA OF CONTEMPORARY BUILDING SURFACE - 디지털 기술의 발달03 . ... 디지털 기술의 발달 _DIGITAL MEDIA OF CONTEMPORARY BUILDING SURFACE Case studies 04 .
    리포트 | 44페이지 | 2,500원 | 등록일 2009.05.21
  • 1장.it기술과 정보화사회
    [연습문제]1-1 제조업 위주의 경제가 디지털 경제로 발전하면서 새롭게 나타난 산업 분야들을 기술하고, 또한 과거의 서비스 산업은 디지털 경제에서 어떻게 변화했는지 보자.a.디지털 ... 없다고 한다.IBM연구자문보고서에 의하면 메인프레임이 분산형 서버를 이용하는 것보다 보안 측면, 중앙 허브 수준에서 데이터 연합 측면, 유연한 SOA(Service Oriented Architecture ... 경제로 발전하면서 새롭게 나타난 산업 분야- 디지털 경제란, 인터넷을 기반으로 이루어지는 모든 경제활동을 뜻한다.이런 인터넷 기반 경제의 발달로 인해 여러 산업이 나타나게 되었는데,
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.08
  • 친환경 설계 발표자료-'친환경 설계에 대하여'
    디지털 기계의 이미지보다 사람과 자연에 어울리는 듯한 디자인으로 첫 선을 보였다 . ... 생산성 중심의 디자인 분해를 위한 디자인 소재의 순수성을 높이는 디자인Green Design 등 장 배 경Green Design 1960 년대 이미 아콜로지 ( acology : architecture
    리포트 | 49페이지 | 2,500원 | 등록일 2014.10.28
  • 켄고 쿠마의 건축특성과 대표작품
    시민참여를 도입해도, 디지털 아키텍처 같은 외부 매개변수로 형태변화를 유도해도, 디즈니랜드 같은 판타지를 구사하여 사람들을 기쁘게 해도, 어떻게 해도 건축 자체는 강하고 이길 수 밖에 ... CompetitionFirst Prize, Architectural Competition for the Complex of GovernmentBuildings related to ... Competition2008 First Prize, Asakusa Tourist Information Center Architectural CompetitionFirst Prize
    리포트 | 11페이지 | 2,500원 | 등록일 2010.09.28
  • VHDL을 이용한 논리 게이트 실습
    마찬가지로 맨마지막줄의 end 다음 부분도 프로젝트명과 일치시켜준다.architecture dataflow of And_gate_vhdl isbeginY ... 이 단계는 입력 된 디자인을 실제 디지털 회로로 변환 하는 과정이다.합성이 완료가 되면 그 다음 단계는 세 번째로 기능 시뮬레이션(Fucntional Simulation)단계이다.
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • BCD 코드를 이용한 10진 가산기
    : out bit); end and2;architecture test of and2 is begin y = a and b; end test;Architecture선언2개의 입력하드웨어 ... 출력이 1이면, 아래 4비트 2진식 가산기를 통해 2진식 합에 2진수 0110이 합해짐.디지털 회로의 설계 자동화에 사용하는 하드웨어 기술 언어VHDL ? ... 10진수 10 → 1010(바이너리) / 0001 0000 (BCD 코드) - 가능하면 십진법에 가까운 방법으로 처리하기 위해 사용 음수가 없이 양수만 존재하므로 덧셈만 가능 = 디지털
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • [11주차] LCD
    과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 - 7차 실습 ... signalLCD_EN : out STD_LOGIC; -- LCD enableLCD_D : out STD_LOGIC_VECTOR (7 downto 0)); --LCD dataend LCD_test;architecture
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • [5주차] flip flop
    Latch▶ 시간적으로 변화하는 레지스터 및 카운터,데이터 신호 버스상의 디지털 정보를 원하는 시각에 판독하여 등록하는 동작,또는 그 회로.▶ Asynchronous(비동기) 상태이며 ... STD_LOGIC_VECTOR(3 downto 0)); -- mode, pi, q는 array형식을 필요로 하므로 STD_LOGIC_VECTOR형식으로 선언end flip_flop;architecture
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 디지털건축에 대한 기존 연구 동향 분석
    ‘건축역사의 순환성’ 각종 미디어에서 무분별하게 사용되고 있는 ‘디지털 건축(Digital Architecture)'이란 용어는 컴퓨터 및 디지털 미디어를 이용한 건축분야의 변화를 ... 테크놀러지 건축을 21세기를 맞이하는 건축의 새로운 패러다임으로 보는 견해가 일반적이다.그 중 ‘디지털 건축(Digital architecture)'은 기존에 고찰되어져 온 공간 ... Information architecture?
    리포트 | 24페이지 | 3,000원 | 등록일 2009.01.18
  • 허희경 디자이너
    패션일러스트레이터는 케네스 폴 블록(Kenneth Paul Block), 데이비드 다운톤(David Downton), 빌 도노반(Bill Donovan), 앤 필드(Ann Field)가 있으며, 디지털 ... 라임(Melanie Reim) 교수님의 ‘드로잉을 위한 일러스트레이션(Drawing for Illustration)’과 알 로렌스(Al Lorenz) 교수님의 ‘건축 일러스트레이션(Architectural
    리포트 | 8페이지 | 1,500원 | 등록일 2013.11.17
  • 곡면재현
    ', 'Digital Form Architecture', 'Freeform Architecture','Fluid Architecture'등의 경향으로 나타나고 있다. ... 디지털 건축과 유리 외피현대 건축에서 직교하는 좌표공간내 직선과 평면요소만으로 사고하던 한계는 다양한 디지털 미디어를 통해 극복되었으며 적극적인 곡면형태의 실험은 'Blob Architecture ... 이러한 디지털 미디어를 통한 곡면 형상은 다양한 형태생성문법으로 이론화되고 있을나 실제로 구축된 사례는 극소수에 불과하다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.03.22
  • 추천건축웹사이트 5개(영문)
    Digital Imaging Project, which focuses on “art historical images from pre-historic to post-modern,” ... Finally, the web site Buffalo as an Architectural Museum is part of the Buffalo Architecture and History ... and which includes architectural structures from around the world.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.11.24
  • 디지털저작권관리(DRM) 법적 의의와 발전사, 디지털저작권관리(DRM) 현황과 기술 동향, 디지털저작권관리(DRM) 기능과 영향, 디지털저작권관리(DRM) 컨텐츠시장, 디지털저작권관리(DRM) 한계와 전망 분석
    Rights Architecture)와 이 구조 내에서 권한을 표현하는 공통적이고 확장 가능한 방법의 해결에 초점을 맞춘 언어(ODRL - Open Digital Rights Language ... 디지털저작권관리(DRM)의 법적 의의와 발전사, 디지털저작권관리(DRM)의 현황과 기술 동향, 디지털저작권관리(DRM)의 기능과 영향, 디지털저작권관리(DRM)의 컨텐츠시장, 디지털저작권관리 ... MP3의 저작권보호기술 표준단체로는 북미의 SDMI(Secure Digital Music Initiative)와 일본의 STEP2000이 주도적인 역할을 하고 있다.2.
    리포트 | 17페이지 | 6,500원 | 등록일 2011.06.14
  • 세카이카메라
    Service concept Service Application How to use Sekai Camera(Functions) Service architecture Other Service ... How to use Sekai Camera(Functions) AirTag 디지털 포스트잇을 지금 , 여기 에 붙일 수 있다 . ... application that offers a brand new experience, enabling users to interact in social communication through digital
    리포트 | 20페이지 | 3,000원 | 등록일 2012.09.03
  • [위험][위험분석][위험채권평가][위험관리][리스크관리][위험가중치]위험분석, 위험채권평가, 위험관리(리스크관리), 위험가중치 분석(위험, 위험분석, 위험채권평가, 위험관리)
    Company strategy and activities)에 따라 불확실성의 요인 즉 위험의 형태와 인식(Risk continuum)이 달라질 것이고 이에 대응한 관리체제(Risk architecture ... Default Digital을 이용한 평가Ⅲ. 위험관리(리스크관리)1. 지배구조 및 조직 접근2. 내부통제 접근3. MIS 접근4. 경영관리 과정 접근Ⅳ. 위험가중치1. ... 만기인 유러피언 digital put option의 가격은만일 1의 지급이 디폴트시점에 발생하면,------------------------2Lando(1998)를 이용하면따라서에
    리포트 | 10페이지 | 5,000원 | 등록일 2013.07.22
  • 산술논리회로(ALU)
    downto 0); in_b : in std_logic_vector(3 downto 0); alu_out : out std_logic_vector(3 downto 0)); end ALU; architecture ... else alu_out =in_a; end if; end process; end Behavioral;SimulationCAD TOOLAltera MAX+PLUS IIReferences디지털 ... 논리와 컴퓨터 설계 – 황희용 VHDL 기초와 응용 - 이대영 Altera Max+plus ll 를 사용한 디지털 논리회로 설계의 기초와 활용 – 이승호 등{nameOfApplication
    리포트 | 11페이지 | 1,000원 | 등록일 2010.05.11
  • 크리스텐슨 교수의 Disruptive innovation
    Stores Digital Eqpt. ... **The right product architecture depends upon the basis of competitionCompete by improving speed, responsiveness ... reliabilityIBM Mainframes, Microsoft WindowsProprietary, interdependent architecturesDell PCs, LinuxModular open architectures
    리포트 | 15페이지 | 2,000원 | 등록일 2010.07.28
  • 클라우드컴퓨팅,클라우드컴퓨팅유형,클라우드컴퓨팅사례,퍼스널클라우드컴퓨팅,퍼스널클라우드컴퓨팅사례
    예를들어 디지털카메라로 찍은 사진을 이제 일일이 여러 컴퓨터로 옮길 필요가 없다. ... ⅱ.퍼스널 클라우드 컴퓨팅의 주요 기술a) 가상화 기술b) Service Oriented Architecture (SOA)ⅲ.퍼스널 클라우드 컴퓨팅 사례a)한컴의 씽크프리 모바일b) ... CITATION 민옥기091 \l 1042 (민옥기이미영,허성진,김창수, 2009) CITATION 시로타09 \l 1042 (마코토시로타, 2009)b) Service Oriented Architecture
    리포트 | 17페이지 | 3,000원 | 등록일 2012.09.13
  • 블루투스를 이용한 화상 전송
    위 그림에서 ‘Standard Two Processor Architecture’가 위에서 설명했던 가장 일반적인 구조이다. ... 디지털 기기의 무선화를 통해서 노트북, 휴대폰, 헤드셋, PDA, PC 및 프린터 등의 기기를 무선으로 연결하여 사용할 수가 있다. ... 위 그림의 세 번째 구조인 ‘Wholly Embedded Single Processor Architecture’는 별도의 호스트가 존재하지 않는 구조이다.
    리포트 | 53페이지 | 4,500원 | 등록일 2010.08.03
  • [Digital Logic Design Project] Full adder
    Digital Logic Design Project #2Full adderProject #1 Gray Code Converter개요3개의 입력과 2개의 출력을 가지는 full adder를 ... 설계한다.VHDL을 이용하여 full adder을 설계한다.ENTITY adder ISPORT ( a, b, c : IN BIT ;s, cout : OUT BIT );END adder;ARCHITECTURE
    리포트 | 5페이지 | 1,000원 | 등록일 2010.01.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대