• 통큰쿠폰이벤트-통합
  • 통합검색(918)
  • 리포트(857)
  • 시험자료(30)
  • 논문(8)
  • 자기소개서(7)
  • 방송통신대(6)
  • ppt테마(5)
  • 이력서(4)
  • 서식(1)

"Digital Architecture" 검색결과 361-380 / 918건

  • vhdl 디지털 탁상 시계 설계
    시간을 제어부에서DownCount하여 시간이 되면 알람.Alarm: 알람설정을 입력 받아 소리와 스탠드를 통해 표시.발표자료(기능별 설명서)순서항목평가상세설계●상세 블록도●상세 Architecture ... clock_100hz : buffer STD_LOGIC;clock_10hz : buffer STD_LOGIC;clock_1hz : BUFFER STD_LOGIC);END clk_div;ARCHITECTURE ... 2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • [컴퓨터의 이해/1학년 공통]마이크로프로세서의 발전과정과 컴퓨터산업에 기여한점과 최신동향, 매트릭스 코드의 하나인 QR코드 대하여 서술, 자신의 QR코드를 과제물에 첨부
    마이크로프로세서 최근동향1) 아키텍처(Architecture)의 변화2) 공정기술의 발전3) 듀얼코어 -> 다중 멀티코어4) 인텔과 AMD의 끊임없는 성능향상(1) 쿼드코어 프로세서 ... 물론 멀티코어 프로세서를 진, IC에 의한 디지털 논리회로, 연학사, 2001고영문, 강진영, QR코드, 블로고스, 2011김선태, 차송이, QR CODE(스마트폰이 가져 온 패러다임의 ... 그러나 이 기술은 네트워크 프로세서, 디지털 신호 처리장치, 그래픽 처리 장치와 같은 임베디드 프로세서 등의 다른 기술 분야에도 널리 쓰인다.4) 인텔프로세서와 AMD 프로세서의 성능향상
    리포트 | 14페이지 | 3,000원 | 등록일 2013.03.19
  • VHDL을 이용한 스탑워치(stop watch) 구현
    ;-- digital_clock 모듈의 포트 선언architecture Behavioral of digital_clock issignal s0001_clk, s0010_clk, s0100 ... STD_LOGIC; -- DIP_SW(1)을 통한 입력load_rec3 : in STD_LOGIC); -- DIP_SW(2)을 통한 입력end watch;-- 각각의 필요한 포트를 선언한다.architecture ... Behavioral of watch iscomponent digital_clockport( clk : in STD_LOGIC;DIGIT : out STD_LOGIC_VECTOR (
    리포트 | 29페이지 | 2,000원 | 등록일 2009.11.25
  • Personal Cloud Computing,클라우드컴퓨팅,클라우드컴퓨팅사례,클라우드컴퓨팅한계및문제점,클라우드컴퓨팅전망
    . - 가상화 된 스토리지는 수요가 발생할 때 자원 할당되는 방식 - 빠른 장애복구 / 응용 소프트웨어 테스트 가능 10Service Oriented Architecture(SOA) ... 네비게이션 휴대용 게임기 태블릿 MP3 PMP 22퍼스널 클라우드 컴퓨팅의 영향 및 전망 사용자들은 … - 자신이 사용하는 애플리케이션이나 다양한 컨텐츠 , 서비스 등을 수많은 디지털
    리포트 | 31페이지 | 5,000원 | 등록일 2012.09.17
  • ■ Ben van berkel_디지털 건축 디자인과 다이어그램(건축적분석)_논문형식
    Analytic research in architectural projects작품연구ⅢBen van Berkel _UN Studio디지털 건축 디자인과 다이어그램2011-2 / Analytic ... research in architectural projects / Ben van berkel _UN Studio / 0739013 손희진CONTENTS1.
    리포트 | 11페이지 | 3,000원 | 등록일 2012.04.26 | 수정일 2022.07.24
  • 자판기 설계 코딩
    선택S3luxury coffee 선택S2uja 선택S1peanut 선택S0resetS0coin투입 100원씩 증가 최대400원까지 투입가능 reset(반환) 초기화 버튼이 존재투입된 금액은 디지털로 ... coin :in std_logic;S_a, S_b, S_c, S_d, S_e, S_f, S_g, C_a, C_b, C_c, C_d : out std_logic);end japangi;architecture
    리포트 | 4페이지 | 2,500원 | 등록일 2010.03.14
  • MIS용어정리
    경제 (Digital economy)디지털 통신 네트워크, 컴퓨터, 소프트웨어 및 그 밖의 관련된 정보기술 등을 포함하는 디지털 기술을 기반으로 하는 경제윤리 (Ethics)누군가가 ... IS : Information system)특정 목적을 위해 정보를 모으고, 처리하고, 저장하고, 분석하고, 유포하는 것정보기술 아키텍처 (Information technology architecture ... 지갑(Digital wallets)EC 구매에 있어서 편의성과 함께 보안 대책을 제공하는 소프트웨어 매커니즘전자 물물교환 (Electronic bartering)화폐의 취급 없이
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.03 | 수정일 2016.10.04
  • 건축과 조경
    -디지털시대의 아날로그 건축 TUESDAY MORNING은 레스토랑. 이 건물이 면한 도로변과 그 주변은 옛날부터 조용하고 깨끗한 고급주택가. ... 삼림이 단조로움ContentsLandscape Architecture3. ... 건축조경 분석 - 관점에 따른 특성 / 주장 / 나아갈 방향ContentsLandscape Architecture1.
    리포트 | 24페이지 | 2,000원 | 등록일 2010.04.23
  • (디지털시스템설계)VHDL RS_Latch
    디지털시스템설계- RS Latch -담 당 교 수 님교수님소 속조( 조)제 출 일 자2010.11.00학 번 성 명1. 게이트를 이용하여 회로도를 구하시오.2. ... ( set : in STD_LOGIC;reset : in STD_LOGIC;q : buffer STD_LOGIC;qb : buffer STD_LOGIC);end rs_latch;architecture
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.01
  • 브랜드마케팅
    브랜드 ARCHITECTURE 3. 브랜드 EQUITY 4. 브랜드 MARKETING 5. 스타벅스 브랜드 관련 기사 6. ... 잃음 ) 1951 삼성물산 설립 , 제일제당과 제일모직 설립 , 안국화재 인수 ( 금융업에 진출 ) → 삼성그룹의 체계 마련 1970 년대 전자산업 , 중화학공업 , 21 세기 디지털 ... Enjoy Starbucks Experience 정직함 프리미엄 퀼리티 전문화 로고 표준화 충성도 욕구충족 국제적 문화 성실 대중적 조화 브랜드 IDENTITY 신선함 사회 독특함브랜드 Architecture
    리포트 | 31페이지 | 무료 | 등록일 2012.03.16 | 수정일 2014.02.25
  • 간호정보학-의료영상저장전송시스템(PACS)
    Digital Interface 확대를 통한 Image Quality 개선? 영상기기와 PC간의 Cabling 및 기타 유지보수의 개선? ... PACS의 구성요소를 설명하시오.p 287 ~ p 292- PACS Architecture, Network, 영상장비, 저장장치, Database, 사용자터미널, 영상관찰대, 필름스캐너
    리포트 | 5페이지 | 1,000원 | 등록일 2011.11.03
  • 4bit carry look ahead adder
    digital_cla of CLA_4bit issignal p, g: std_logic_vector(3 downto 0);signal carry: std_logic_vector(3 ... std_logic;pout : out std_logic;gout : out std_logic;sum : out std_logic_vector(3 downto 0));end CLA_4bit;architecture
    리포트 | 6페이지 | 1,500원 | 등록일 2010.06.11
  • 프랭크게리의 건축경향및 특징
    Prize) 1992년 월프예술상(건축부문/Wolf Prize in Art) 임페리얼 건축상(Imperiale Award in Architecture) 1994년 릴리안 기쉬상(Lillian ... Gehry and Associates, Inc.)를 1979년부터 하버드대학, 예일, UCLA등의 유수대학에서 외래강의를 하기도 함연혁주요수상 1989년 프리츠커 건축상(Pritzker Architecture ... 자연 이미지를 가지고 표현추구 건축디자인의 창조적 개념을 그 자신만이 가진 논리와 이론으로 발전 디자인 프로세스를 중요시모더니즘에서의 일탈 재료물성과 미완성의 미 변증법적 형태언어 디지털
    리포트 | 35페이지 | 3,000원 | 등록일 2010.11.07
  • Marcos Novak(마르코스 노박)
    하이브리드 – 새로운 종 만들기Trans Architecture의 분석 및 특징공간과 공간의 상호 작용 디지털 미디어와의 결합을 통한 새로운 가능성 집약적 응집내의 이질성, 연속, ... 기존에 볼 수 없었던 새로운 인터페이스를 통한 통합의 공간 구축하고 비물질적인 정보와 물질적인 건축공간을 접목시켜 자연, 디지털, 인간 을 포함한 통합환경 구축. ... 트렌스 건축의 개념은 그 하나의 의미로 작용하지 않고 여러 다른 디지털 요소와 사고, 과학적 페러다임, 수학적 알고리즘, 4차원 기하학 등에 의해 형성되었음.결론하이데거의 입장에서
    리포트 | 22페이지 | 3,500원 | 등록일 2008.03.17
  • 정보사회와 건축
    : 설계 분야에서의 컴퓨터 활용은 단순히 도면 작업을 지원하는 데에서 출발하여 컴퓨터 보조설계, 즉 CAAD (Computer-Aided Architectural Design)의 ... 즉, 창조적 디지털 디자인에 의하여 자연 생태 환경을 복원, 생성하고 자연 친화적인 새로운 조형언어를 개발하기 위한 디지털 자연주의 등이 그것이라 할 수 있다.▶ 정보화 및 디지털 ... 편리한 생활환경을 제공하는 컴퓨터는 건축 분야에도 점진적이지만 괄목할 변화를 가져다주고 있는데 이렇게 컴퓨터에 의한 건축을 디지털 건축이라 할 수 있으며, 이와 같은 디지털 정보화에
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.22
  • vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    ;architecture Behavioral of digital_clock issignal s10_clk, s01_clk, m10_clk, m01_clk, h01_clk : std_logic ... PurposeXilinx 소프트웨어를 이용하여 디지털 시계의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다.2. ... Problem Statement① Describe what is the problem.주어진 entity로 디지털 시계를 설계하며, pin할당 역시 주어진 pin table을 참고하여
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • 캐논코리아비지니스솔루션_SW연구개발직 합격 자기소개서
    그리고 디지털 회로에 대한 이해와 VHDL을 활용하여 'UART 통신을 통한 디지털 도어락'이라는 프로젝트도 진행하였습니다. ... 나갈 것입니다. 35살 이전에 개발 팀장으로서 개발과 함께 설계에도 참여하고 싶습니다.10년이 지나 40대를 바라보고 있는 때에는 현장에서 함께 코딩하고 디버깅하는 것은 물론, Architecture
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.11.01
  • Kunsthuas Graz - 피터 쿡
    전시장(Kunsthaus)을 디자인 하였다.Peter Cook1936년 영국 사우스엔드 출생. 1953년부터 1958년까지 본머스 미술 대학을 다녔고, 1958년부터는 런던 건축협회(Architectural ... Kunsthaus의 형태로써도 새롭고 시선을 이끌어 낼 수 있는 건축물이고 디지털건축이라는 새로운 도전을 통해서 현대건축을 표현하고 있는 것 같다.현재 우리 사회에는 디지털 건축을 ... BIX 프로젝트를 사용하여 미디어로서의 건축물이라는 개념을 보여주고 있다.기존의 건축물에서는 디지털 건축이라는 말이 너무나도 생소한 단어였지만 현대 사회에서는 디지털, 미디어라는 단어는
    리포트 | 6페이지 | 3,000원 | 등록일 2011.02.26 | 수정일 2017.04.27
  • yg 기업분석 보고서
    내부환경(Internal Environment)◆ 경영진·임원◆ 조직 문화(Organizational culture)- 기업 이념&목표- 조직 아키텍처(Organizational architecture ... 산업의 경기변동점차 디지털 음원의 가격이 상승하며 부가사업이 확대되어가고 이와 함께 해외진출도 확대되어감으로 향후 시장의 규모도 점차 증가할 전망이지만 소비자들의 선호변화 등의 요인으로
    리포트 | 35페이지 | 2,000원 | 등록일 2014.06.12 | 수정일 2014.06.16
  • 디지털시계
    mode 신호 추가출력1/100초 단위로 출력되는 2자리숫자와 초단위의 2자리 숫자4개(4-digit7segmentdisplay1모듈) 이용하여 구현? ... integer range 59 downto 0;--초의 출력sec_hun : out integer range 99 downto 0);--1/100초의 출력end st_watch;architecture
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대