• 통큰쿠폰이벤트-통합
  • 통합검색(708)
  • 리포트(683)
  • 시험자료(16)
  • 자기소개서(5)
  • 방송통신대(4)

"4-bit Adder" 검색결과 341-360 / 708건

  • 덧셈회로
    adder의 결과 timing diagramA, B, C =13)4-bit binary adder-IC 7483에는 4개의 full adder가 들어 있다. ... -bit binary full adder의 진리표.입력이 모두 0일 때4)뺄샘회로-앞의 덧셈회로에서 B의 입력들에 7486을 연결하여 회로를 구성 하였다. 7486의 2입력중 하나는 ... 결과 및 timing diagram2) Full adder-full adder는 여러 가지로 꾸며 볼 수 있으나 2개의 half adder로 생각하면 쉽다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.27
  • #9 디지털실험 예비
    KEY[1]은 클럭으로 되고, 클럭이 2번 움직여야 연산된 값을 얻을 수 있다.part34bit full Adder의 조합을 이용하여 4비트끼리의 곱 을 설계[function Simulation ... (금)Part1Lab5에서 설계한 4비트 full adder를 이용하여 8비트 full adder로 설계[function simulation]FF을 이용하여 파이프라인을 설계하여 입력과 ... ]HEX4 = A, HEX6 = B를 나타내고 둘의 곱은 총 7비트로 나타낼 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • <논리회로실험>가산기와크기비교기
    결 론4비트 2진/Excess-3 코드 변환기의 설계와 구현 및 테스트를 해보는 실험을 했다.설계를 위해 가산기와 비교기를 사용하였고, 가산기는 입력 A와 B에 대해 각각 더할 숫자의 ... BCD가산기는 그의 내부 구조에 보정 논리를 포함하고 있어야 한다. 2진식 합에 0110을 합하려면 제 2의 4비트 2진식 가산기를 쓴다.두 수의 비교는 한 수가 다른 수보다 큰가, ... 그림 4 회로 구성입력(2진수)출력(Excess-3)D C B AA’D C B A0 0 0 000 0 1 10 0 0 100 1 0 00 0 1 000 1 0 10 0 1 100 1
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.14
  • 실험3결과 ADD&SUB
    실험 결과1) Half-adderS= bar{A} B+A bar{B}#C=AB2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용하는 반가산기 회로이다. 2개의 비트 A와 B를 더해 합 ... Ripple-carry adder, Carry-lookahead adder, Carry save adder등이 있었다. ... 예상과 결과가 일치하였다.(0 0 0 0) (0 1 1 1) (1 0 1 0) (1 1 0 0)4) Full-subtractorD _{o} = bar{AB} B _{i} + bar{
    리포트 | 5페이지 | 3,000원 | 등록일 2014.05.13
  • [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html(이미지출처) ... 감산기5조이름학번실험일15.04.07제출일15.04.14전원전압 4.89V, SN74LS83실험 8.3 2의 보수를 이용한 4비트 2진 가?감산기다음과 같이 4비트 병렬 2진 가? ... 1 0 0+7+0111BCD가산기에서 4비트로 입력할 때 0~9까지 십진 숫자를 표시하기위해 4비트를사용하며 0000~1001까지의 코드만 사용한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 가-감산기 회로도 구성
    번째 단계에서 만든 Full Adder를 또 하나의 Symbol로 만들어서 가-감산기의 회로도를 구성한다.- A0~A7까지의 입력과 B0~B7까지의 입력를 넣고 8비트의 연산을 하기 ... (이때, C_in을 GND로 연결시키면 덧셈의 연산을 하고 VDC로 연결시키면 뺄셈의 연산을 하는 회로도가 구성된다.)4) 가-감산기 회로도 Check하기- 가-감산기 회로도가 잘 ... 나오게 회로도를 구성한다.2) Full Adder 회로도 구성- 처음 단계에서 만든 half adder를 하나의 Symbol로 만들어 full adder의 회로를 구성한다.- 두 개의
    리포트 | 6페이지 | 1,000원 | 등록일 2014.05.07
  • 16bit CLA Adder Design
    설계 과정에서는 우선 4 bit CLA를 설계한 후, 이를 연결하여 16 bit CLA를 완성하였다.? CLA.v? ... ■ 16Bit CLA Adder Design (Verilog)1. 16bit CLA Logic▶ Full Adder (FA)▲ Full Adder의 Truth tableABCinSCout00 ... 16bitAdder와 CLA 모듈 5개의 Assign을 하여 최종 16bit CLA Adder Design (Code)? tb_CLA.v?
    리포트 | 7페이지 | 3,000원 | 등록일 2013.05.27
  • 전전컴실험Ⅱ 06반 제05주 Lab#04 [Arithmetic Logic, Comparator] 결과 보고서
    Purpose of this Lab4bit adder & subtractor를 이해하고, 이를 응용해 8bit adder & subtractor를 만들 수 있다. ... Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥17< 초록 (Abstract) >이번 실험에서는 4bit full adder & subtractor를 만들고, 4bit짜리 ... 그리고 1bit comparator의 구동원리를 이해하고, 4개를 instance해서 4bit짜리 comparator를 만들 수 있다.나.
    리포트 | 18페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • [텀프로젝트]디지털 논리회로 설계 - 2자리수 16진수 가감산기
    bit Bidirectional Universal Shift Registers Equipment and P arts list74LS83 4-Bit Binary Full Adder 74LS86 ... Add 1 - Sub X-OR Full Adder BCD Decode r Full Adder Full Adder Full Adder BCD Decoder 7 segment AND OR ... Line Octal Priority Encoder 74LS04 Hex Inverters (Not Gate) 74LS04 Hex Inverters (Not Gate) 74LS194 4-
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.13 | 수정일 2014.12.23
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    4bit-CLA를 기본으로 설계하며 4bit-CLA는 1bit FullAdder를 4개를 직렬로 연결한 구조로 설계를 한다. 32bit-CLA는 4bit-CLA를 8개 연결한 것으로 ... 설계를한다.16bit-CLA는 위쪽의 CLA의 덧셈 과정에서 설명한 그림인 4bit-CLA를 4배로 확장한 것으로 보면 된다. ... 때 32-bit CLA를 만들기 위해서는 8개의 4-bit CLA가 직렬로 연결되므로 32-bit CLA의 delay는 총 16Δg가 된다.2) 합성 및 분석- 16bit-CLA는
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • Combinational_Logic_Design_Ⅰ_Arithmetic_Logic and Comparator
    합하여 합과 자리올림(Carry out:Co)을 출력시키는 논리 회로반가산기의 입력에 자리 올림 입력 비트를 추가시킨 회로Truth table4비트 가산기 : 멀티 비트 가산기(Multi-Bit ... 또한 더 나아가 1-bit comparator와 4-bit comparator를 설계해보고 장치로 직접 확인해보았다. ... Reference (참고문헌)< 초록 (Abstract) >이 실험은 먼저 목적에 맞게 연산회로에 대해서 알아보고 1-bit 감산기 및 4-bit 가산기를 ISE 프로그램을 이용하여
    리포트 | 32페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • #5 디지털실험 결과
    모듈하나를 더 설계하여 구현해주니 제대로 작동하였다.Part3.▶ 코드분석Full Adder 모듈을 구현하여 4비트 끼리의 덧셈을 하는 코드. 1번 FA에b[0] + a[0] + Cin ... .▶ 코드분석Part3에서 제작한 Full adder를 거쳐서 나온 결과값 4bit의 값을 part2에서 설계한 회로를 거쳐 Segment HEX에 출력하는 회로▶ Compile 분석Total ... 이유는 입력값의 비트차이였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 피스파이스를 이용한 8bit 가산기 설계(vlsi 텀프로젝트)
    VLSI 설계과제8비트 가산기 설계8Bit-Adder Design2014년 12월 11일INDEX1. 서 론1.1. 설계 목표1.2. 설계 과제 선정1.3. ... 설계 목표- MOSFET을 이용해 8비트 가산기를 설계할 수 있다.- MOSFET을 이용한 8비트 가산기의 원리를 이해하고 설명할 수 있다.- 주파수 변화에 따른 8비트 가산기 회로의 ... 남게 되고, 마지막 비트 위치에서 계산한 자리올림J = 400E-6 PB = 1 MJ = 0.5+ CJSW = 300E-12 MJSW = 0.5** Vcc **vcc 3 0 dc 5v
    리포트 | 30페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 실험2 제02주 Lab01 Pre Logic Circuit(XOR,OR,AND,FA,HA)
    Predata of this Lab1) Lab 1 of OR gate2) Lab 2 of XOR gate3) Lab 3 of Half adder4) Lab 4 of Full adder4 ... Lab 1과 Lab2의 실험을 통해 반가산기(Half adder)를 구현하여 실험한 결과, XOR gate와 AND gate를 통하여 구현 할 수 있었으며, SUM bit은 XOR ... -Off / SW2-OffA-Logic ‘1’ / B-Logic ‘1’OffOn4) Lab 4 of Full adderInputRED LED(CarryOut)Yellow LED(SUM
    리포트 | 7페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 디지털실험 3예비 2비트 전가산기
    따라서 XOR gate는 때때로 1/4가산기로 불린다. XOR gate동작은 또한 2덧셈법(modulo-2 addition)이라고도 불린다. ... OR게이트로 만든 전가산기 회로이다.ABCinCS0000000101010010111010001101101101011111전가산기 회로와 시뮬레이션 결과이다. 2bit이상의 덧셈을 ... 디지털 실험 예비보고서실험 3. 2비트 전가산기실험 목적1. 반가산기와 전가산기의 원리를 이해한다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • vhdl ( full adder ) 실행과정화면 + 결과화면 + 소스파일
    < 메인화면 fa_s1 >< 풀에더 fa >< 하프에더 ha >< or연산기 or_2 >< 결과 화면 >VHDL< Full Adder 소스 >---------------------- ... ----------------------------------library ieee;use ieee.std_logic_1164.all;entity fa isport ( w, x, y ... ------------------------------------------------------library ieee;use ieee.std_logic_1164.all;entity
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.29
  • [VerilogHDL] CLA(Carry Look ahead Adder)를 이용한 16bit 고속 가산기 설계
    Adder operation 13~16bit sum and carry- Wire Configuration이름비고sumCLA_1~4의 연산 결과를 sum에 연결carry_outCLA4의 ... CLA4 Configuration- I/O Configuration구분이름비트수비고InputA4bitInput data XB4bitInput data YC01bitCarry inOutputS4bitSumC1bitCarry ... · C0그림2. 4bit Carry Look ahead Adder■ 16bit Carry Look ahead adder 설계?
    리포트 | 6페이지 | 2,500원 | 등록일 2013.05.25
  • 서강대학교 디지털논리회로실험 8주차결과
    Multiplier의 마지막 비트에 가상의 0을 붙여 준 후, 1bit 씩 Overlap하여 3bit를 선택한다. ... 토의4-bit multiplier구성시 Shift-and-add를 사용할 경우 직관적으로 이해가 쉽고 회로구조 역시 간단하다는 장점이 있다. ... Shift-and-add 구조는 가장 기본적인 Multiplying Algorithm으로, 구조는 다음과 같다.▲ Shift-and-add 4-bit Multiplier기본적인 shift-and-add
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • 2비트 전가산기 예비보고서
    고려하지 않고 두 비트 A, B만을 입력으로 받아서 출력에 그의 합 S와 자리올림 수 C를 각각 1 비트씩 출력하는 회로② 전가산기 (FA : Full Adder)- 자리올림수를 ... 실험 제목 2비트 전가산기실험 목적[1] 반가산기와 전가산기의 원리를 이해.[2] 가산기를 이용한 논리회로의 구성능력을 키움.관련이론① 반가산기 (HA : Half Adder)- 자리올림은 ... Y, D=bar{X} Y로 나오는 것을 진리표를 통하여 확인할수 있다.4. 다음은 전감산기 회로이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 아주대학교 논리회로실험 실험3 예비보고서
    4 이면 5 + (-4)로 바꿔서 덧셈과 같은 방식으로계산할 수 있다.Half ADDER (반가산기)논리게이트를 이용하여 2개의 비트(0 혹은 1)에 대하여 덧셈이 되도록 회로를 구성한다.AND ... 즉 1+1인 경우에는 합이0이고 Carry가 1이 된다.)Full Adder (전가산기)논리게이트를 이용하여 2개의 비트 와 자리올림C _{i`n`} 을 더해 SumC _{out}을 ... power supply), led, 전선4) 실험과정 및 예상 결과Part 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대