• 통큰쿠폰이벤트-통합
  • 통합검색(48,837)
  • 리포트(42,533)
  • 자기소개서(2,388)
  • 시험자료(2,165)
  • 방송통신대(1,162)
  • 논문(363)
  • 서식(155)
  • 기업보고서(40)
  • ppt테마(18)
  • 노하우(7)
  • 이력서(6)

"제어기" 검색결과 361-380 / 48,837건

  • 실험8. 전압제어 발진기 회로(예비)
    전압제어 발진기 회로전자공학부 3학년 8조 학번 : 200421748 이름 : 박지용1. 실험 목적555 타이머를 이용하여 VCO로 동작시키는 방법과 사용법을 이해한다.2. ... 발진기VCO는 아날로그 음향 합성장치, 이동통신 단말기 등에서 주로 쓰이며, 외부에서 인가된 전압으로 원하는 발진 주파수를 출력할 수 있게 해주는 장치이다. ... 내부적으로 비교기의 기준 전압 분배기인 세 개의 저항 사이에 연결된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.12.05
  • 열교환기 온도 제어 실험 결과
    열교환기 온도제어실험 방법1. 수도밸브로부터 찬물유입구(1)로 호스를 연결하고, 찬물유출구(2)에 호스를 연결하여 배수구를 통하여 배출되도록 한다.2. ... Cold Water ON/OFF Valve(15)를 ON시켜 열교환기에 찬물을 공급한다.3. ... Program에서 Read를 실행하면 열교환기의 각 온도신호가 들어올 것이다.10. 열교환시스템이 정상상태에 도달할 때 까지 기다린다. (약 30분)11.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.01.13
  • UJT 이장발진기를 이용한 SCR 위상제어 회로설계
    UJT 이장발진기를 이용한 SCR 위상제어 회로설계1. 명제UJT 2N2646을 써서 이장발진기를 설계하고 이를 이용하여 SCR 위상제어회로를 설계한다.2. ... 설계한다⑥ Simulation을 통해 발진 주파수및 전압 파형을 확인한다.⑦ 오차 보정을 통해 SCR위상제어 회로의 동작을 최종 확인한다.4. ... 설계의 목적(1) UJT의 구조 및 동작원리를 이해한다.(2) UJT를 이용하여 이장발진기를 설계하고 그 동작원리와 발진주파수를 계산한다.(3) UJT를 이용하여 SCR 위상제어회로를
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 열교환기 온도 제어 실험 예비
    hot water의 온도를 제어함으로서 가열되는 cold water의 온도를 제어하여, 열교환기의 특성과 공정제어의 개념을 이해할 수 있도록 제작되어 있습니다.그림 1. ... 열교환 제어 실험장치 소개1. 실험 목적이중열교환기의 열전달속도에 미치는 유체의 유속 및 온도의 영향을 고찰한다.2. ... 열교환제어실험장치 공정모식도본 실험장치는 두개의 열교환관으로 구성되어 있습니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.01.13
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    실험이론이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다. ... 실험과정 및 소스코드.위에서 설명한 것과 같이 이번 실험에서 유한상태머신을 이용하여 신호등 제어기를 설계할 것이다. ... 이 때 리셋입력을 추가하여 리셋입력이 발생하였을 때 초기 상태로 돌아가는 제어기를 설계한다.우선 위에서 작성한 신호등의 색깔을 상태로 지정하고 유한 상태머신을 설계해야 한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • Verilog를 이용한 교통신호제어기(TLC) 설계
    Digital System Design HW #32007142105 Kim Jang-wook1. S_TLC의 Block DiagramInputsX : Local road에서 차를 감지하는 센서P : 보행자가 누르는 버튼clock : 시스템 clockclear : 1일 ..
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • 직류변환기/쵸퍼제어
    정류소자로도 불립니다.4) SCR의 응용분야SCR은 계전기 제어, 시간지연 회로, 모터 제어, 전압 조정, 축전지 충전기, 위상제어 등을 포함한 많은 응용분아에 사용되고 있습니다. ... 직류변환기/쵸퍼제어1. AC에서 DC 로 변환하는 회로2. DC에서 AC로 변환하는 회로3. ... 고전압 대전류의 제어가 용이하다.나. 제어이득이 높고, 게이트 신호가 소멸하여도 온 상태를 유지할 수 있다.다. 수명은 반영구적으로 신뢰성이 높다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.01.14
  • [공기조화][설비][공기조화기][자동제어장치][공기여과기]공기조화와 설비, 공기조화와 공기조화기, 공기조화와 자동제어장치, 공기조화와 공기여과기 분석(공기조화, 자동제어장치)
    가습하는 부분으로, 여기에 송풍기를 부가하여 각 실내에 송풍하기 위한 기능을 가미한 것을 말한다.⇒ 중앙식 공기조화기와 개별식 공기조화기로 구분전체제어방식이나 존제어방식에서 중앙의 ... 공기조화와 설비, 공기조화와 공기조화기, 공기조화와 자동제어장치, 공기조화와 공기여과기 분석Ⅰ. 개요Ⅱ. 공기조화와 설비Ⅲ. 공기조화와 공기조화기Ⅳ. 공기조화와 자동제어장치1. ... 공기조화와 자동제어장치실내를 쾌적한 상태로 유지하기 위한 공조설비는 자동제어장치에 의해 제어되고 있다.
    리포트 | 5페이지 | 5,000원 | 등록일 2013.03.26
  • MATLAB을 이용한 PID제어기 설계
    우리의 과제는 제어기의 설계에 있는데 본 장에서는 PID 제어기의 설계에 대하여 논한다.?2. 3항제어기PID 제어기의 전달함수는 다음과 같다.? ... 이 오차신호 e(t)가 PID 제어기에 보내지고 제어기는 이 오차신호의 미분값과 적분값을 계산하게 된다. ... 된다.가 되어 s(s+2)항은 약분되므로따라서 C(s)에 PI제어기를 사용했을때의 전달함수는 다음과 같다∴특성방정식 :이다.결론C(s)에 PI(비례-적분기)제어기를 설치하였을때의
    리포트 | 21페이지 | 3,000원 | 등록일 2007.11.28
  • 국내외 교통신호제어기 기술현황 및 신기술 동향 조사
    The cameras will supply data from the intersectio기에서 제어하여 신호등부에는 출력만 나가는 방식과 제어기와 위성 모듈을 CAN BUS로 연결하여 ... 외국사례조사본 과제의 핵심개발기술인 저전력 신호제어 기술에 대한 효과비교를 위해 다른 나라에서 널리 사용되고 있는 제어기의 주제어부와 신호구동부를 제어하기 위한 교류(AC)전압과 신호등으로 ... C940ESSystem vlotage230 V AC (-20% / +15%)Supply voltage for signal heads40 V DC현재 세계 각국에 널리 사용되고 있는 제어기로서
    리포트 | 10페이지 | 2,000원 | 등록일 2013.01.28
  • [실험보고서]비례제어기를갖는폐루프제어
    출력의 오버슈트가 약 4%가 되도록 비례제어기의 가변저항를 조정한 다. 이 때, 비례이득를 구한다. ... 대상으로 하여 비례제어기를 이용한 폐루프 제어시스템을 설계하고, 특성을 관측한다.사용장비품명규격수량비고2 Channel Osilloscope1 EABread Board1 EAFunction ... P제어기의 이득을 1, 10, 50, 100 으로 했을 경우 입력파형과 출력 파형을 오실 로스코프로 관측한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2007.12.28
  • 제어공학 관련 동영상(로봇) 관람기
    과제목적최신 제어 기술에 관한 동영상을 감상하고, 이로써 국내외 제어기술의 동향 및 이해도와 흥미를 증진시킨다.2. ... 제어 시스템 설계 REPORT- 자유과제 : 제어관련동영상 감상기 --------------------------- 목 차 --------------------------1. ... 생각만으로 모든 것을 제어할 수 있기 때문이다.또한 척수에 전극을 심어 뇌로 신호를 보내 전달하여, 느낌을 조절할 수도 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.11.03
  • [자동제어]PD제어기 C 프로그램
    1.목 적 : ① PD제어기를 CEMtool로 나타낸다.② PD제어기를 C-language 프로그램으로 설계한다.③ 프로그램한 제어기를 Matlab으로 graph로나타낸다.2.내 용2.1 ... 비례미분 되먹임 제어시스템의 구성 : PD제어기를 포함하는 되먹임 제어시스템? PD제어기 전달함수 :여기서,는 비례계수,는 미분계수.? ... 비례미분 제어기(proportional-derivative controller), 또는 PD제어기; 오차신호를 미분하여 제어신호를 만들어내는 미분제어를 비례제어에 병렬로 연결하여
    리포트 | 6페이지 | 1,500원 | 등록일 2005.12.13
  • [verilog]DE2 Text LCD 제어기 설계 와 응용
    [실습 9: text LCD 제어기 설계 및 응용]1. ... 실습 내용4.1 text LCD 제어기 설계(1) text LCD의 timing도를 이해하고 이러한 timing을 지원하는 text LCD 제어기를설계하시오.module lcdcontroller ... 대상screencursorR/L이동 방향rightleftfunction setDLdata length8-bit4-bitNnumber of display21Gfont size5x105x7텍스트 LCD내부 제어기
    리포트 | 26페이지 | 2,000원 | 등록일 2010.04.29
  • 직류전동기의 종류와 속도제어
    타여자 발전기와 발전기를 회전시키는 구동용 전동기를 두고 , 발전기의 계자저항 조정기로 주 전동기에 가하는 전압을 변화- 전압 제어에 전동기의 계자 저항 조정기로 계자 제어를 함으로써 ... 전압 제어법 : 전기자에 가하는 전압을 변화[ 직권 전동기의 계자제어] [ 직권 전동기의 저항 제어]*워드레오너드 방식( ward leonard system)- 주 전동기에 전용의 ... 요구되는 전차, 전기기관차, 내연기관 기동용, 크레인 및 권상기 등의 운전에 적합하다※직류 전동기의 종류와 속도제어 비교.1) 속도 제어?
    리포트 | 5페이지 | 1,000원 | 등록일 2007.10.11
  • [제어공학] PID제어기 설계
    비례(P)제어기비례제어-기준신호와 귀환신호 사이의 차인 오차신호에 적당한 비례상수 이득을 곱해서 제어신호를 만들어내는 제어기법 - 이 기법의 제어기를 비례제어기(Proportional ... PID 제어기 설계법1. ... -비례미분 제어기(proportional-derivative controller)또는 PD제어기라 부른다.PD제어기G(s)r-eu+y++5.
    리포트 | 35페이지 | 1,000원 | 등록일 2004.11.18
  • [제어공학실험]비례(P)제어기를 갖는 폐루프제어
    비례제어기를 갖는 폐루프 제어{과 목:제 어 공 학 실 험(2)담 당 교 수:소 속:분 반:제 출 자(학번순):제 출 일 자:2005. 11. 11. (金)I. ... 관련이론(1) 비례제어기를 이용한 폐루프 제어시스템{{Zienger-Nichols의 계단응답법에서{K_P =PMATRIX{1overK}{PMATRIX{thetaover tau}}^- ... 1{K_P: 비례제어기의 이득, {K: 제어대상(PLANT)의 이득, {theta: 무응답 시간, {tau: 상승시간{K_P =0.5K_PC{K_PC: 안정한계일 때의 시간응답에 의하여
    리포트 | 11페이지 | 1,000원 | 등록일 2006.07.01 | 수정일 2021.12.04
  • 선박(배) 중요성, 선박(배) 위험성, 선박(배) 신고제도, 기중기(크레인)와 컨테이너터미널, 기중기(크레인)와 크레인조작실, 기중기(크레인)와 크레인제어기, 기중기 효율화 방안
    기중기(크레인)와 크레인제어기크레인 제어계에 대하여 외란을 고려하지 않은 일반적인 경우 P나 PI제어기와 같은 단순화된 제어 기능만으로도 충분히 제어할 수 있지만, 설정치가 변화되거나 ... 선박(배)의 중요성, 선박(배)의 위험성, 선박(배)의 신고제도, 기중기(크레인)와 컨테이너터미널, 기중기(크레인)와 크레인조작실, 기중기(크레인)와 크레인제어기, 기중기(크레인)의 ... 기중기(크레인)와 컨테이너터미널Ⅵ. 기중기(크레인)와 크레인조작실Ⅶ. 기중기(크레인)와 크레인제어기Ⅷ. 기중기(크레인)의 효율화 방안1. 실험에서 사용된 예제2.
    리포트 | 7페이지 | 5,000원 | 등록일 2013.07.23
  • DC-DC 컨버터를 이용한 직류전동기 속도제어
    전동기제어 ProjectDC-DC 컨버터를 이용한 직류전동기 속도제어1. DC-DC 컨버터A. 아래 그림과 같이 PSIM을 이용하여 DC-DC 컨버터 회로를 구성하시오. ... (단, time step=1us,제어주기=100us)위의 회로도를 구분해보면 다음과 같다.DLL에서 전류제어기에서 흐르는 전류와 모터의 속도제어기에서 속도를 입력 받아 출력하게 되는데 ... Ki_T=0.1; //i제어기cnt += dt; //dt의 시간을 계속 더해간다.if(cnt = 100*msec){Vtri = in[3];//Vtri1 = 15*Vtri; //파형발생기를
    리포트 | 9페이지 | 1,500원 | 등록일 2010.12.16
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대