• 통큰쿠폰이벤트-통합
  • 통합검색(48,837)
  • 리포트(42,533)
  • 자기소개서(2,388)
  • 시험자료(2,165)
  • 방송통신대(1,162)
  • 논문(363)
  • 서식(155)
  • 기업보고서(40)
  • ppt테마(18)
  • 노하우(7)
  • 이력서(6)

"제어기" 검색결과 301-320 / 48,837건

  • 무인항공기 비행제어시스템 실험레포트
    이 기법에 의한 제어기를 비례적분 제어기(proportional- integral controller), PI제어기라 부른다. ... 이 기법에 의한 제어기를 비례미분 제어기(proportional-derivative controller), 또는 PD제어기라 부른다. ... 무인항공기 비행제어시스템 실험실험 레포트목차 및 출처1. 실험개요출처응용공학실험 2011 실험4. 무인항공기 비행제어시스템 실험2.
    리포트 | 11페이지 | 2,000원 | 등록일 2012.05.01
  • [Ayeun] 마이크로프로세서응용 4주차 결과보고서 마프(Atmega128,polling,interrupt,LED밝기제어 과제코드)
    마이크로프로세서응용 결과보고서제목4주차 결과보고서학과전자공학과학번성명제출일2018. 10. 30확인1. 폴링(Polling) & 인터럽트(Interrupt) 동작원리, 차이점, 장단점폴링과 인터럽트는 둘 다 어떤 작업을 수행 시 외부에서 들어오는 이벤트에 의해 어떠한 ..
    리포트 | 11페이지 | 1,000원 | 등록일 2018.12.22
  • [제어공학실험] 진 - 지상 보상기 회로 실험 설계 레포트
    제어공학실험REPORT지상-진상회로1. 실험목적지상-진상회로의 회로 해석과 그 특성을 관측함으로서 제어요소의 특성을 이해한다.2. 실험회로3. ... 실험순서 및 결과실험 3.1지상-진상 보상회로를 구성하라.실험 3.2가변저항R _{2}를 2kR _{4}를 100k 및C _{2}를 0.2uF로 정하였을 경우 지상-진상보상기의 전달함수를 ... {T}} over {S+ {1} over {beta T}}#진상:G(s)= s+5555overs+55555 지상 :G(S)= {s+500}overs+50실험 3.3지상-진상 보상기의
    리포트 | 10페이지 | 1,500원 | 등록일 2014.12.29
  • matlab PID제어기 설계
    제어기 C(s)를 붙여서 피이드백 제어하는 경우의 출력 y(t)의 sine 파형의 크기가 1 이하로 줄어들도록(즉 공진 현상이 안 생기도록) 제어기를 설계하자.2) 일반 외란에 대한 ... 이젠 PI제어기를 추가함을써 더 향상된 결과를 나타나도록 하겠다.PI제어기는 시스템 타입이 증가하기 때문에값이 개선이 되고 BW가 감소 불안정한 경향이 증가됨을 알 수 있다. ... 공진현상을 없애기 위하여 제어기가 필요함을 알 수 있다.G(S)의 Bode plotPD제어기를 추가하면 High Pass Filter의 성질을 가지고 있어서 BW를 증가시키고 Phase
    리포트 | 9페이지 | 1,500원 | 등록일 2009.01.09
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 7-segment에 출력됨과 동시에 dotmatrix블럭에서는 "김정수"이라는 문구가 좌..
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • [공학]PID 제어기
    병렬형 PID제어기의 동조규칙② 직렬형 PID제어기직렬형 PID제어기는 PI제어기와 PD제어기를 직렬로 연결하여 이루어지는 제어기를 말한다. ... 지금까지 이 책에서 다뤄온 제어기들은 모두 연속형인데 이 제어기들을 컴퓨터나 디지탈 신호처리장치로 구현할 때에는 이산형 제어기가 쓰이게 된다.① 병렬형 PID제어기병렬형 PID제어기란 ... 그렇지만 이 형태의 PID제어기에서는 PI제어기와 PD제어기가 서로 분리되기 때문에 계수를 동조하기에는 병렬형 PID제어기보다 간편하다.직렬형 PID제어기를 위한 자동 동조규칙도 병렬형
    리포트 | 6페이지 | 1,000원 | 등록일 2007.09.06
  • [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    A2, B2, carry2, carry3, SUM[2]);bitadder add4 (A3, B3, carry3, COUT, SUM[3]);endmodule3 X 4 keypad값을 제어하는 ... 디지털설계ⅡLED와 Dotmatrix에 연산 결과 값과 사용자지정 값이 출력되는 4비트 2진 덧셈기 설계목 차설계개요개념설계회로구현시뮬레이션결과검토■ 설계개요HBE-COMBOⅡ 트레이닝 ... 블록으로 3개의 입력비트와 2개의 출력비트로 구성된다.- A, B는 더해질 위치의 2개의 비트이며, CIN(캐리입력)로 표시된 변수는 바로 전 위치로부터의 캐리이다.4비트 2진 덧셈기
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
  • 준능동 동조질량감쇠기의 탄성 및 비탄성 지진응답 제어성능 평가 (Performance Evaluation of Semi-Active Tuned Mass Damper for Elastic and Inelastic Seismic Response Control)
    한국지진공학회 이상현, 정란, 우성식, 조승호
    논문 | 10페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 LCD에 출력됨과 동시에 dotmatrix블럭에서는 "2 Digit Decimal Add..
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • [결과]전압제어 발진기
    설계실습.6 전압제어 발진기 결과◇ 설계실습 내용 및 분석(1) 그림 1의 적분회로를 응용한 전압제어 발진기 회로를 구성하라. ... 발진기의 Gain (그래프의 기울기)을 구하라.◇ 결론(1)전체적으로 설계실습이 잘 되었는가? ... (OP amp의 공급 전압은 Vcc = 5V , Vss = -5V로 한다.)(2)제어 전압 Vc를 변화시키면서 출력 주파수의 변화를 측정하라.Vc(V)0.511.522.533.544.5Freq
    리포트 | 4페이지 | 1,500원 | 등록일 2008.11.16
  • 영상검지기를 이용한 좌회전 감응식 신호제어전략 개발 (Development and Evaluation of a Left-Turn Actuated Traffic Signal Control Strategy using Image Detectors)
    대한교통학회 은지혜, 오영태, 윤일수, 이철기, 김남선, 한웅구
    논문 | 11페이지 | 4,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 디젤엔진 및 변속기 전자제어
    공전속도 제어공회전 제어기는 실제 엔진 속도가 원하는 공회전 속도가 되도록 분사된 연료량을 변화시킨다. 공전시에는 연료소비율이 높으므로 기간을 짧게 제어해 주어야 한다.c. ... 또한, 연료의 개선을 하고, 또한 우수한 운전성를 얻는 수단으로서, 변속기의 전자 제어가 실현화되었다.자동변속기 제어는, 엔진의 출력을 차량의 주행 특성이나 연비 성능을 고려하여 효율 ... 전기 공역학적 트랜스 듀스스월 제어기의 밸브, EGR 위치기, 부스트―압력 엑츄에이터 등은 과압력이나 부압을 사용하여 기계적으로 움직인다.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.17
  • AVRstudio4 를 활용한 ATmega128 승강기 제어 코드입니다. ( 주석처리 되있습니다 )
    엘리베이터 소스코드와 제가 작품으로 만들었을당시 사진입니다.굳이 제작을 하지 않으셔도 마이크로 컨트롤러라는 과목의 기말 프로젝트 과제로 정말 좋은 코드입니다.모터제어와 통신을 활용하여
    리포트 | 11페이지 | 22,000원 | 등록일 2015.11.03 | 수정일 2016.04.03
  • 전자회로실험및설계 : 8051 MCU의 PWM 제어로 LED 밝기 제어
    LED가 LED 보드의 5V 전원과 연결되어 있어, 다른 크기의 전압을 인가하여 LED의 밝기를 조절할 수 없다. ... 사각파의 High일 때의 크기를 , Low일 때의 크기를 이라고 하면, Duty cycle D는 로 나타난다. 일반적으로 사각파의 Low를 0으로 잡는데, 이것을 적용하면 이다. ... 그러나 MCU의 Port 출력 값으로 LED에 흐르는 5V 전원을 ON, OFF할 수 있기 때문에 PWM을 사용하여 Port 출력 값의 Duty cycle을 조절하면 LED의 밝기를
    리포트 | 7페이지 | 1,000원 | 등록일 2011.12.28
  • FPGA 디지털 시스템 설계 : 신호등 제어기 설계
    신호등 제어기 설계1. ... light controller 설계도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때, 가능한 한 큰 길의 신호가 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 ... 고찰본 과제에서 신호등 제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 state를
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • [전동기제어]전동기제어
    전동기제어컴퓨터제어공학과전동기란? ... 일반전동기와 굳이 비교하자면 정밀한 제어성능을 가지고 위치제어와 속도제어를 주 목적으로 고안된 전동기로 구분하면 된다. ... 기계에너지로 변환 입력:전력 출력:동력(회전,선형) 제어에 의한 출력 변화 입력:제어신호 출력:속도나 토크의 변화전동기.출력.입력(전력).제어입력.손실.추력, 회전력전동기의 분류직류전동기
    리포트 | 56페이지 | 2,500원 | 등록일 2005.10.24
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호등 제어기 설계2. ... 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4.
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [제어공학]PI제어기
    1.목 적 : ① PI제어기를 C-language 프로그램으로 설계한다.② 프로그램의 출력하여 그 출력값을 Matlab에서 Graph로 나타낸다.2.내 용식(1)은 2계 Proces인 ... 제어시스템의 블록선도3.프로그램#include #include #include #include static float GCN[20],GPN[20],GCD[20],GPD[20],RD ... 식(1)을 다음 프로그램의 Process로 하여 설계한 것이다.(1)Sample time(Ts) = 0.1s프로그램을 그림 1의 제어시스템의 블록선도를 기초로 하여 프로그램을 작성하였다.그림
    리포트 | 9페이지 | 1,000원 | 등록일 2005.12.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대