• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(49,099)
  • 리포트(42,689)
  • 자기소개서(2,433)
  • 시험자료(2,185)
  • 방송통신대(1,162)
  • 논문(404)
  • 서식(155)
  • 기업보고서(40)
  • ppt테마(18)
  • 노하우(7)
  • 이력서(6)

"제어기" 검색결과 381-400 / 49,099건

  • DC-DC 컨버터를 이용한 직류전동기 속도제어
    전동기제어 ProjectDC-DC 컨버터를 이용한 직류전동기 속도제어1. DC-DC 컨버터A. 아래 그림과 같이 PSIM을 이용하여 DC-DC 컨버터 회로를 구성하시오. ... (단, time step=1us,제어주기=100us)위의 회로도를 구분해보면 다음과 같다.DLL에서 전류제어기에서 흐르는 전류와 모터의 속도제어기에서 속도를 입력 받아 출력하게 되는데 ... Ki_T=0.1; //i제어기cnt += dt; //dt의 시간을 계속 더해간다.if(cnt = 100*msec){Vtri = in[3];//Vtri1 = 15*Vtri; //파형발생기를
    리포트 | 9페이지 | 1,500원 | 등록일 2010.12.16
  • 선박(배) 중요성, 선박(배) 위험성, 선박(배) 신고제도, 기중기(크레인)와 컨테이너터미널, 기중기(크레인)와 크레인조작실, 기중기(크레인)와 크레인제어기, 기중기 효율화 방안
    기중기(크레인)와 크레인제어기크레인 제어계에 대하여 외란을 고려하지 않은 일반적인 경우 P나 PI제어기와 같은 단순화된 제어 기능만으로도 충분히 제어할 수 있지만, 설정치가 변화되거나 ... 선박(배)의 중요성, 선박(배)의 위험성, 선박(배)의 신고제도, 기중기(크레인)와 컨테이너터미널, 기중기(크레인)와 크레인조작실, 기중기(크레인)와 크레인제어기, 기중기(크레인)의 ... 기중기(크레인)와 컨테이너터미널Ⅵ. 기중기(크레인)와 크레인조작실Ⅶ. 기중기(크레인)와 크레인제어기Ⅷ. 기중기(크레인)의 효율화 방안1. 실험에서 사용된 예제2.
    리포트 | 7페이지 | 5,000원 | 등록일 2013.07.23
  • [전동기 제어] 전동기 제어회로
    전동기의 정역 운전회로전동기의 제어는 시동과 정지는 물론 그 회전의 방향도 제어해야한다.이러한 기능에 정역회로가 이용되는데, 전동기의 역회전은 R.S.T. 3단자 중 2단자의 접속을 ... 직입 기동 회로전동기의 제어회로는 단순히 전동기만 ON? ... 시동 보상기에 의한 기동회로.전동기의 저전압 기동법의 일종으로, 전동기를 기동할 때, 초기에는 단권변압기에 의해 감압된 전압을 전동기에 인가하고, 전동기가 가속되면 단권변압기를 단락시켜
    리포트 | 7페이지 | 1,000원 | 등록일 2004.03.12
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • [제어공학]온도변화검출기
    ..FILE:11.jpg..FILE:22.jpg..FILE:33.jpg..FILE:77.jpg..FILE:제어공학 _온도변화검출기실습.hwp- 1차 과제 : 온도 변화 검출기-1.
    리포트 | 2페이지 | 1,000원 | 등록일 2007.05.11
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    기존 엘리베이터 제어기에없는장애물감지, 정원초과, 재난 발생, 엘리베이터 고속이동 구현에 중점을 두고 엘리베이터제어기를 구현2.엘리베이터 동작절차분석-엘리베이터 제어기를 만들기전에 ... 실습주제-계절학기동안 배운 논리회로를 기반으로 HDL언어를 사용하여 우리 주변에 쓰이는 단일엘리베어터 제어기를 설계해본다. ... 그리고 vhdl구문도 조금씩 생각 나면서 제어기 구현에 속도가 붇기 시작했다. 어느정도의 완성이 이루어지고 나서 진짜 실제로 엘리베이터를 타보면서 동작 조사를 하기 시작했다.
    리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • SSB 수신-곱 검파기와 자동 이득 제어
    주는 직류 출력신호로 바꾼다.자동이득제어장치는 증폭기의 이득(증폭도)을 일정한 기준으로, 자동적으로 제어하는 장치이다. ... 실험제목SSB 수신-곱 검파기와 자동 이득 제어2.실험목표* 곱 검파기와 AF 필터의 동작을 서술* 어떻게 자동이득제어 회로가 복구한 메시지 신호의 진폭을 유지하는지 설명.3. ... ●자동이득제어(AGC) 회로각 송신소간의 거리에 따라 전파로상의 차이에 의해, 수신기에 입력되는 입력 신호 전력은 아주 큰 폭으로 차이가 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.12.12 | 수정일 2015.09.08
  • 한양대학교 기계공학실험 진동실험: 동흡진기를 이용한 진동제어 실험
    실험을 통해 주진동계의 과도한 진동을 동흡진기를 이용하여 흡수, 제어할 수 있음을 확인함으로서, 흡진 현상을 이해할 수 있었다. ... (1) 동흡진기의 일반원리주진동계에 2차 진동계를 결합시킴으로서 나타나는 주요한 효과는 1자유도 진동계를 2자유도의 진동계로 변화시키는 것이다.
    리포트 | 15페이지 | 4,000원 | 등록일 2013.08.27 | 수정일 2022.01.06
  • [실험보고서]비례적분제어기를갖는폐루프제어
    입력에 PI제어기를 연결하고 계단 입력을 가했다.정상상태 오차는 PI 제어기를 추가 하여 1형계이다.파형이 0으로 수렴함을 확인하였다.비례이득의 증가될수록 진동주파수가 증가하게 된다.입력에 ... 검토PI제어기를 이용한 특성 실험이다.콘덴서=0.01[㎌]로 고정하고,은 고정하고을 조정하여(비례이득를 조정한다) 출력파형을 측정하는 실험에서 입력에 PI제어기를 연결하고 계단 입력을 ... 가했다.정상상태 오차는 PI 제어기를 추가 하여 1형계이다.파형이 0으로 수렴함을 확인하였다.비례이득의 증가될수록 진동주파수가 증가하게 된다.입력에 PI요소가 있는 제어기에서는 정상상태오차는
    리포트 | 14페이지 | 1,000원 | 등록일 2007.12.28
  • [실험17]비례적분미분제어기를갖는폐루프제어
    비례적분제어기를 이용한 폐루프제어의 특성을 고찰한다.사용장비품명규격수량비고2 Channel Osilloscope1 EABread Board1 EAFunction Generator1 ... 만약 가변저항및 콘덴서의 값에 따라 출력파형이 변할 경우 그 이유를 적으시오.8.PID제어기의,,값을 계산하시오. ... 만약 가변저항및 콘덴서의 값에 따라 출력파형이 변할 경우 그 이유를 적으시오.이므로 가변저항와 콘덴서가 모두 영향을 주므로 출력파형이 변화하게 된다.PI제어기를 이용한 제어시스템이기
    리포트 | 16페이지 | 1,000원 | 등록일 2007.12.28
  • 신호등 제어기 설계제안서 PPT
    신호등 제어기 설계 제안서 15 - 1프로젝트 목표 - 실험 시간에 배운 내용을 토대로 신호등의 원리를 이해하고 기본적인 회로를 설계할 수 있다 .
    리포트 | 15페이지 | 3,000원 | 등록일 2008.12.29 | 수정일 2015.12.14
  • [예비]아라고 진자 시스템의 PI 및 PID 제어기 설계
    - PID 제어기를 이용한 피드백 시스템PD제어기와 PI제어기의 장점을 취한 제어기이며, 구조가 간단하고 제어 성능이 우수하며, 시스템의 복잡한 수학적 모델 없이 제어이득을 결정할 ... 그림1과 같이 적분 제어기가 비례제어기와 병렬로 구성된다. ... 실험 목적- PI 및 PID 제어기를 설계하여 아라고 진자의 위치제어를 수행하고 응답성능을 관찰한다.- PI 및 PID 제어기의 특징을 파악하고 PD 제어기와의 차이점을 관찰한다.-
    리포트 | 4페이지 | 3,000원 | 등록일 2010.06.07
  • SSB 수신- 곱 검파기와 자동이득 제어
    실험 제목SSB 수신- 곱 검파기와 자동이득 제어2. ... 회로는 제어하는 회로단의 이득을 변화시켜, 수신 신호의 크기가 변화되더라도 오디오 출력이 항상 일정하도록 한다.SSB 수신기에서 AGC 회로의 입력은 IF 신호 또는 복구된 AF ... 자동이득제어(AGC)회로와 SSB 수신기(SSB Receiver)를 연결하는 2핀 커넥터를 제거하자.Received Signal Amplitude2.0Vpk-pk1.0Vpk-pkRF
    리포트 | 9페이지 | 1,000원 | 등록일 2009.12.14 | 수정일 2015.09.08
  • [결과]아라고 진자 시스템의 PI 및 PID 제어기 설계
    담당조교실험내용 개략(목적 및 방법 간추림)* 실험 목적① PI 및 PID 제어기를 설계하여 아라고 진자의 위치제어를 수행하고 응답성능을 관 찰한다.② PI 및 PID 제어기의 특징을 ... 제어설계 실습 결과 보고서실험실습 아라고 진자 시스템의 PI 및 PID 제어기 설계성 명학 번조? ... 결과를 비교해 본다.결과 및 검토(특이사항 등)① 45도, 120도, 180도의 동작점에 대한 PI 제어기 및 PID 제어기를 설계하고 이 값을 이용한 제어 시스템이 전체 블록(실험4의
    리포트 | 12페이지 | 3,000원 | 등록일 2010.06.07
  • [제어공학실험]비례적분(PI)제어기를 갖는 폐루프제어
    비례적분제어기를 갖는폐루프 제어{과 목:제 어 공 학 실 험(2)담 당 교 수:소 속:분 반:제 출 자(학번순):제 출 일 자:2005. 11. 11. (金)I. ... 관련이론(1) 비례적분제어기를 이용한 폐루프 제어시스템{{{그림 16-2{{Zienger-Nichols의 계단응답법에서{K_P =PMATRIX{0.9overK}{PMATRIX{thetaover ... thetaover tau}}tau, {G_C (s)={R_1}over{R_4}PMATRIX{1+{1}over{sC_3 R_1}}=K_P PMATRIX{1+{1}over{sT_i}}{K_P: 비례제어기
    리포트 | 10페이지 | 1,000원 | 등록일 2006.07.01 | 수정일 2021.12.04
  • 1-DOF drive arm system을 원하는 spec에 맞는 제어기 설계
    조건에 맞게 각각의 PD, Lead, PID 제어기를 Design 한다 . Design 된 제어기를 Ideal 환경과 Real 환경에 적용한다 . ... 수학적 모델 ) 실제 시스템의 모델 ( 물리적 모델 ) ≠ Solution 이론적으로 구한 각각의 요소를 토대 원하는 Specification 설정 Kp , Kd , Ki 각각의 제어기의 ... 자동제어시스템설계 제어계측공학과 Final Term projectContents Introduction 1 Analysis 2 Controller Design 3 Conclusion
    리포트 | 32페이지 | 10,000원 | 등록일 2012.05.11
  • 제어공학설계실험 아라고진자 시스템 아라고 진자 시스템의 PI 및 PID 제어기 설계 예비레포트
    아라고 진자 시스템의 PI 및PID 제어기 설계◇학습목표◇√ PI 및 PID 제어기를 설계하여 아라고 진자의 위치제어를 수행하고 응답성능을 관찰한다.√ PI 및 PID 제어기의 특징을 ... PID제어기는 비례(P), 적분(I), 미분(D) 제어의 세 부분을 병렬로 조합하여 구성하는 제어기로서 아래 그림의 토막선도는 플랜트에 PID제어기를 연결한 되먹임 제어시스템을 보여주고 ... 이제 남은 문제는 만족할 만한 과도응답을 얻을 수 있도록의 적절한 조합을 선택하는 일이다.ⅳ) PID제어기앞 절에서 살펴본 바와 같이, PI제어기나 PD제어기를 식와 같은 원점극점을
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 실험8. 전압제어 발진기 회로(예비)
    확인해야 하는 입장에서 보면, 555의 정확도와 신뢰성은 백만원군의 가치와 크게 다르지 않다.3) VCO(Voltag Controlled Oscillater)영어를 그대로 해석하면 전압제어발진기가 ... 쉽게 말해서 전압가변 캐패시터)- 위와 같은 원리를 이용하여 만들어지는 것이 전압조정발진기, VCO이다. ... 출력으로 정지시는 L, 타이머 기동중은 H④ RESET (RES) : 타이머출력을 정지시켜 L⑤ CONTROLVOLTAGE (FM) : 6번 핀의 THRESHOLD (Th) 전압을 제어하며
    리포트 | 9페이지 | 1,500원 | 등록일 2008.08.07
  • 제어공학설계실험 아라고진자 시스템 아라고 진자 시스템의 PI 및 PID 제어기 설계 결과레포트
    비교하고 PD 제어기와 PI 및 PID 제어기를 설계해여 이들 각각의 제어기에 의한 제어결과를 비교 분석해보았다.ⅱ) 실험다음 그림은 이번 실험을 위해 PI의 시스템과 PI 제어기의 ... 아라고 진자 시스템의 PI 및PID 제어기 설계◇학습목표◇√ PI 및 PID 제어기를 설계하여 아라고 진자의 위치제어를 수행하고 응답성능을 관찰한다.√ PI 및 PID 제어기의 특징을 ... PID 제어기를 직접 만들어 보았다.
    리포트 | 10페이지 | 3,000원 | 등록일 2010.12.22
  • [전자회로실험] 전압제어 발진기 회로 (결과)
    1. 실험목표555 타이머를 이용하여 VCO로 동작시키는 방법과 사용법을 이해한다.2. 실험 장비 및 부품1) 오실로스코프2) 직류가변전원 × 23) 555타이머 IC4) 저항 : 2.2㏀, 4.7㏀5) 캐패시터 : 0.022㎌3. 실험방법 및 결과1) 실험방법1) 그..
    리포트 | 4페이지 | 1,500원 | 등록일 2009.03.23
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대