• 통큰쿠폰이벤트-통합
  • 통합검색(1,307)
  • 리포트(1,151)
  • 자기소개서(84)
  • 방송통신대(33)
  • 시험자료(29)
  • 논문(6)
  • 서식(1)
  • 표지/속지(1)
  • ppt테마(1)
  • 노하우(1)

"디지털시계 설계" 검색결과 21-40 / 1,307건

  • 디지털시계 설계
    목차디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및 최종설계디지털시계 ... 여기서 디지털 시계의 회로를 제대로 이해하기 위해서는 무엇보다 카운터 설계에 대한 개념이 잡혀 있어야 된다고 생각한다.7-segment Display0~ 9 까지의 숫자를 표시하기 ... 위의 블록도와 같이 시계는 동작하게 되어 있다. 디지털 회로에 전원 DC 5V 와 시계의 타이머 NE555 클럭 입력을 준다.
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • 디지털시계 설계와 분석
    예로 디지털시계 회로를 설계해보자. ... 기초회로실험 설계과제디지털시계 제작과 그 과정과 목 명 :이 름 :학 번 :교 수 :제 출 일 :목적디지털 응용회로를 설계, 구현하고 실험을 통해 동작을 확인하기로 한다.디지털 응용회로의 ... 설계과제의 문제 및 제한 조건디지털시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2009.12.05 | 수정일 2022.12.12
  • 디지털 시계 설계
    개발목적과 설계방향[개발목적] ‘디지털 공학’수업을 통해 쌓은 지식을 바탕으로 카운터를 이용해 설계할 수 있는 대표적인 순차 회로의 하나인 디지털시계설계 및 제작한다. ... 현황이처럼 디지털시계는 무한한 잠재성을 지니고 있으며, 시간에 설정된 시간에 자동으로 개폐되는 장치, 다중알람 시계 등으로 무한한 응용이 가능하다.하지만 다양한 생활용품의 디지털화가 ... 이에 디지털 시계는 패션에 관한 면에서는 문자판이나 시계 바늘의 구조를 변화시키거나 LED를 이용한 방법, 거꾸로 가는 시계 등 각종 다양한 디자인 및 아이디어가 출원됐고, 기능적인
    리포트 | 20페이지 | 1,500원 | 등록일 2009.08.20
  • vhdl 디지털 탁상 시계 설계
    추후 변경 가능.통합1) 시간 표시 방법 24시간 표시방법으로 통일.2) 시계로서의 동작 완벽함.설계보고서순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 ... 2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각 ... 표시(7-segment)Stopwatch 기능알람 기능(전용 전등 점등)발표자료자료조사● 시장조사 : 전등 점등 기능을 갖춘 디지털 탁상시계는 없으므로 아이디어 상품으로 판단.●
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 회로이론 디지털 시계 설계
    logic works로 구현된 디지털 시계입니다. 시간/달력/타이머/알람이 가능합니다.
    리포트 | 33페이지 | 2,500원 | 등록일 2010.11.21
  • VHDL의 활용(_디지털시계설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용VHDL의 활용[ 디지털시계(digital watch)의 설계]▣ 제1절 목표,구성 및 동작? 설계의 목표? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용? 구성 요소? ... 디지털시계의 동작? 모드선택 : 시간표시, stop_watch, 초/분/시 설정모드가 반복하면 설정?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • [발표자료] 디지털시계 설계
    디지털 시계 제작- 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 7. ... 설계 후기1. 설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 시스템 개요 24 시간의 시간을 나타내는 시계를 구성 D F/F 으로 구성된 동기식 카운터를 이용 시 , 분 , 초를 나타내는 각 7- 세그먼트를 두 개씩 이용하여 십의 자리수와 일의
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.06
  • VHDL 을 이용한 디지털 시계 설계
    표시 하고 각각의 값을 설정 할수 있도록 하며, Stop Watch는 시작과 정지 모드를 가지고 정지시 정지한 시간에서부터 다시 시간이 흘러가게 되는 기능을 가진 디지털 시계설계하였습니다.설계한 ... 디지털시계의 경우 5개의 입력과 9개의 출력 신호를 가지고 있습니다. ... 디지털 시계의 기능1) 기본적인 시계 구현- 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다.
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 디지털 시계 설계 제안서
    디지털시계 프로젝트『개발 제안서』목 차1. 설계 개요① 설계 목표② 디지털시계의 기능2. 디지털시계의 구성① 하드웨어 구성3. 설계 흐름도① 하드웨어 동작 흐름도4. ... 설계 개요① 설계 목표- 우리가 사용하는 디지털시계를 IC소자를 이용하여 7-Segment로 구현한다. ... 설계 흐름도① 하드웨어 동작 흐름도< 디지털시계 전체 블록도>디지털시계의 블록도를 보면 시간 표시를 위한 7-Segment 표시기를 비롯하여 시간 디코더 회로(BCD-to-7 Segment
    리포트 | 25페이지 | 3,000원 | 등록일 2009.11.27
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • 디지털 시계 설계에 관하여
    디지털 시계 설계디지털 시계 개요▲ 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 이와 같은 디지털시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... BCD 카운터▲ modulo-6 카운터, BCD 카운터는 설계를 했다. 하지만 디지털 시계에서 쓰게 될 카운터로는 enable 제어신호를 갖는 카운터를 설계해야 한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.07.02
  • [디지털실험][설계과목]디지털 시계 만들기
    설계목적/ 18(1) 그 동안 학습했던 내용을 토대로 디지털 시계설계(2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습.2. ... 디지털 시계 회로도은 우리가 설계 및 작성을 해야하는 디지털 시계의 전체적인 회로도이다. ... 이와 같은 디지털 시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • 논리회로-디지털시계 설계 텀프로젝트 발표자료
    ..PAGE:1Digital logic designTerm project11조..PAGE:2topic..PAGE:3Topic : 디지털 시계..PAGE:4Design process. ... .PAGE:5Step 1 : find possible stateEMH000시간 조절모드001시간 ++010분 조절모드011분 ++100시계동작모드101시계동작모드110시계동작모드111시계동작모드 ... reductionUnnecessary - SKIP..PAGE:9Step 5 : State assignmentEMH000시간 조절모드001시간 ++010분 조절모드011분 ++100시계동작모드101시계동작모드110시계동작모드111시계동작모드
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.22
  • [논리회로] 디지털시계 설계 텀프로젝트 제안서
    Digital Logic Term Project Proposal수강번호132411 조■ Title : 24시간 표현 디지털시계■ Objective24시간이 표현되는 디지털시계를 논리회로
    리포트 | 2페이지 | 1,000원 | 등록일 2013.02.06
  • vhdl을 이용한 디지털시계+스탑워치 설계
    +날짜, 스탑워치) - Set모드 진입 시, Key[3] 누르고 있으면 자동 카운팅State문을 이용하여 총 5개의 모드 구현 (시계 Run, 시계 Set, 날짜 Run/Set ... 기능시계 Run/Set 모드 - 모드 변경키를 이용하여 Run/Set 모드 변경 가능날짜 Run/Set 모드 - 날짜 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 ... , 31일) 윤달 미 지원알람 Run/Set 모드 - 알람 모드 진입 후 최초 Run 모드 - Key[2]를 누르면 Set모드 진입, 계속 누를 시 다시 Run모드 - 시계
    리포트 | 13페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    REPORT교과목담당 교수님제출 날짜팀원전 공학 번이 름Digital Clock작품 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 시계 회로참고 서적최신 디지털 공학 VHDL을 이용한 FPGA 디지털 설계 ... 이와 같은 디지털시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • VHDL을 이용한 디지털 시계 설계
    Introduction VHDL(VHSIC Hardware Description Language)을 이용하여 디지털 시계설계하기 위한 첫 번째 수행 단계로써 7-SEGMENT의 ... 이 실습 과제를 통하여서 7-SEGMENT의 동작원리를 이해하며, 첫 번째 실습인 만큼 비교적 간단한 VHDL 설계를 통하여 앞으로 있을 복잡한 설계과정에 있어서 도움이 될 수 있는 ... 문제에서는 case문으로 지정되어 있으므로 case문을 이용하여 설계를 합니다.3.
    리포트 | 25페이지 | 3,000원 | 등록일 2009.02.09
  • 디지털시계 설계 및 원리
    제14장 디지털 시계14-목 적- 동기식 카운터를 이용한 디지털 시계설계14.1 디지털 시계의 구성주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 ... 이와 같은 디지털 시계설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 디지털 시계 전체 블록도14.2 동기식 modulo-N 카운터 설계이제부터 카운터를 설계해 보자. 이미 9.2절에서 modulo-6 카운터, BCD 카운터는 설계를 했었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.01.31
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    시뮬레이션3) 를 이용하여 hour자리용으로 적합한 divider_2를 설계하고, simulation하라.? 코드?
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    설계를 하려고 하였으나, 아직 프로그램 코딩에 미흡하여 디지털시계설계못하고 스탑워치를 설계하기로 함. ... 내용- 디지털 시계 설계1) 카운터 및 스탑워치 등을 주제 선정하여 구현 시 점수의 90%반영2) 디지털시계 구현 시 100%반영3) 이 외의 주제 난이도 판별하여 별도의 추가점수 ... 거쳐 디지털시계설계하는 것에 최종 목표를 둔다.-> 만약 상황이 여의치 않을 경우, 주어진 교재 또는 인터넷에 나와 있는 기존의 자료들을 검색하여 디지털시계보다 한 단계 낮은
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대