• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(118)
  • 리포트(103)
  • 자기소개서(12)
  • 방송통신대(2)
  • 논문(1)

"FPGA 개념" 검색결과 21-40 / 118건

  • LIG넥스원 생산관리직 합격자소서
    마인드맵을 도입해 접근성을 높이고 개념 중요도에 따라 단계별로 문제를 재배치했습니다. ... 이를 통해 Linux 활용법 및 FPGA-Design Flow를 상세히 배워 설계에 대한 이해도를 높였습니다.둘째, '마이크로프로세서설계'에서 초시계를 제작하며 HW개발 경험을 쌓았습니다 ... 순탄히 진행하는 듯했으나 갈수록 개념 이해에 어려움을 겪는 친구들이 많아졌습니다. 이를 위해 매주 교수님의 강의를 재청강하며 저만의 노트 제작에 나섰습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.07
  • LG디스플레이 서류합격 자소서입니다(2013년)
    먼저 자기장 증폭을 위한 각종 재료는 직접 제작해야했고, 더 구체적인 제작을 위해서는 근거리 네트워크 프로토콜, FPGA, 고주파에서도 동작가능한 증폭기 등이 필요했던 것입니다.다시 ... 당시 열심히 공부하여 문제는 풀 수 있었지만, 어떻게 소자들이 사용되는지에 대한 개념을 익히지 못했기 때문입니다. ... 이를 통해 PN접합의 개념을 확실히 이해하고 BJT에 대한 명확한 이해도 가능하게 되었습니다.소자에 대한 이해가 확실해지자, 전공에 대한 자신감이 생겼습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.01.14
  • 현대모비스 SW직무 최종합격 자소서
    그러던 중 기본개념에서 힌트를 얻어 보자는 생각을 했고 수업에서 배운 verilog 사용법의 기초를 복습했습니다. ... 프로젝트는 알고리즘을 선정하고 C++로 검증한 뒤 verilog로 코딩하여 FPGA 보드에 올리는 순으로 진행했습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.06.11 | 수정일 2023.06.13
  • 컴퓨터 기술에 대한 본인의 전공 활용성 고찰
    이러한 기술 발전 동향 측면에서 추구하는 컴퓨터 기술은 인간보다 더 우월한 지능, 능력을 갖춘 컴퓨터 출현이다.지능이라는 것은 인간 사고 활동 능력을 모두 일컫는 말로 이는 개념이 ... 이러한 인공지능 알고리즘은 대체로 그래픽처리장치, 중앙처리장치, FPGA 등과 같은 기존 컴퓨팅 시스템에서 실행되고 최근에 기계학습 가속화를 위해 다양한 방식도 개발하고 있지만 무어
    리포트 | 5페이지 | 2,000원 | 등록일 2024.08.30
  • 시립대 전전설2 Velilog 결과리포트 4주차
    가능했다. a-b가 0보다 큰지 안 큰지를 통해 비교 판별이 가능하다는 점도 확인하였다.결론이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 ... 그리고 감산기를 설계하면서 이제까지 그냥 듣고만 넘겼던 2의 보수에 관한 개념을 확실히 잡을 수 있는 계기가 되었다.참고문헌-전전설 교안- Hyperlink "http://cms.kut.ac.kr
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 아주대학교 기계공학기초실험 예비보고서 (학습활동 - QUIZ) 모음
    아날로그 신호는 자연의 연속적인 개념이며, 디지털 신호는 센서로 인해 변환된 특정한 값만을 가지는 비연속적인 개념이다.1) 오실로스코프 (Oscilloscope)? ... LabVIEW FPGA를 통해 수정가능하다.+2.5 VGND출력Wrap-back 테스트를 위한 참조를 제공한다.+5 VGND출력최대 +5V, 200mA의 출력을 제t
    리포트 | 31페이지 | 2,000원 | 등록일 2021.01.10
  • 서강대학교 디지털논리회로실험 레포트 9주차
    -Read only memory (ROM)-Random access memory (RAM)2) Address decoding의 개념과 구현 방법을 이해한다.3) ROM을 이용해서 임의의 ... 메모리 소자 연결 신호와 FPGA 핀번호의 연결STEP 3) DIP_SW[3:0]과 DIP_SW[7:4]의 내용을 변경하면서 7-segment에 표시되는 내용을 살펴보았다.사진 SEQ
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • [보고서1등] Labview 기초 (결과) [A+] 아주대 기계공학기초실험
    멀티코어 가능, 하드웨어 가속 기술 (DSP, FPGA 및 GPU를 코프로세서로)과 호환8. ... 과학 연산의 맥락에서, 본 개념은 오프라인 분석과 시각화 처리를 위해 데이터가 액세스되는 파일, 데이터베이스 및 기타 저장 형태로 수집되었던 데이터까지도 포함하도록 확장됩니다.
    리포트 | 14페이지 | 3,000원 | 등록일 2019.09.05
  • [SK하이닉스 SW type] 2020 상반기 최종합격 자소서
    또한 업무적으로 저를 포함하여 MVP 개념, 쿼리문 작성 능력과 협업에 필요한 git 사용 방법에 대한 지식이 많이 부족했습니다. ... 결과/ 경험의 진실성을 증명할 수 있는 근거가 잘 드러나도록 기술 (1000 자 10 단락 이내)[철저한 분석을 통해 간단한 수식을 도출하다]저는 올해 2월 ㅇㅇㅇ에서 인턴 당시, FPGA
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.09.09 | 수정일 2021.03.08
  • [기초전자회로실험2] "Verilog Basic, FPGA / Shift register - FPGA" 예비보고서
    시뮬레이션 끝④ $time 현재 시뮬레이션 시간을 얻는다.⑤ $monitor, $display 특정 값을 디스플레이 할 때 사용한다.① C 언어의 함수 (Function)와 같은 개념② ... FPGA는 SRAM 타입의 경우 PROM파일만 바꿔주면 부용을 바꿀 수 있음? ASIC은 칩을 교체해야 하지만 FPGA는 업데이트로 해결 가능- FPGA의 단점? ... 1Preliminary report Electronic Engineering기초전자회로실험Verilog Basic, FPGA / Shift register - FPGA자료는 실제 실험을
    리포트 | 8페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • fpga
    또한 소비전력이 크고 가격이 비싸다.FPGA개념이 PLD보다 월등하기 때문에, 많은 회사들이 다양한 FPGA를 개발하였다. ... 디지털 회로 설계HW#3목차fpga란? ... fpga 구조CLBInterconnectionIOBfpga의 동작SRAM 프로그래밍Anti-fuse 프로그래밍EPROM 프로그래밍참고문헌FPGA란?
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • [기초전자회로실험2] "MOORE & MEALY MACHINE - FPGA" 예비보고서
    고찰MOORE & MEALY MACHINE의 기본원리와 개념에 대해 학습하고 이를 바탕으로 Vivado를 활용해 코딩하고 이를 FPGA에 programing 하여 정상적으로 작동하는지 ... 1Result report Electronic Engineering기초전자회로실험MOORE & MEALY MACHINE - FPGA자료는 실제 실험을 바탕으로 작성되었으며,보고서 평가 ... programing에 앞서 정상작동 유무를 확인한 이후 FPGA의 각 핀과 스위치에 역할을 부여해주고 이외 사용하지 않는 구성은 off한 이후 programing을 진행하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.03.27 | 수정일 2019.03.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    실험목적① Verilog 문법, initial과 always, 배열과 대한 개념 및 예시② 1-bit Full Adder와 Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 ... Gate Array(FPGA) board프로그램Vivado 2014.043. ... 실험제목Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증2.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • [서평] 쉽게 읽는 하드웨어 & 소프트웨어의 원리와 구조
    FPGA에 대해서는 223쪽이다.FPGA의 상위개념이 PLD다. ... 알지 못하면서 지나쳐 버린 개념들이 너무 많다. 저자는 의인법을 사용하여 개념을 이해시켜 준다. 프로세서를 사령관으로 비유했다. 일종의 명령을 내리는 기능이다.2. ... 검토를 요하는 회사는 FPGA는 앞에서 말한 IC다. 시스템의 칩을 보안 목적에 맞게 설계하여 부착하겠다는 복안인 듯 하다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.27
  • 01-논리회로설계실험-예비보고서
    실험 목표VHDL의 기본개념과 프로그래머블 로직의 형태를 이해하고 이를 통해 기본 게이트를 설계할 수 있다.2. 예비 이론(1) CPLD, FPGA란? ... CPLD는 PAL 개념의 확장이다. ... Exclusive OR)(4) 동작적 모델링, 자료 흐름 모델링, 구조적 모델링 대해 적으시오.- 동작적 모델링 : 동작에 대해 상세히 기술되는 구조적 모델링에 비해 상위의 추상적인 개념
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 디지털 시스템 실험, Verilog 코딩, Adder/Subtractor/Multiplier/Divider, Binary to BCD 설계, FPGA보드 결과 포함
    Full adder 4개를 이용하여 4bit adder를 만들고 TestBench를 이용하여 시뮬레이션을 돌렸다.5. 4Bit Adder에 보수개념을 이용하여 exclusive or를 ... 목적이 아니라고 하셔서 다시 Half Adder 2개와 or 게이트 하나를 이용하여 구현하였다.그리고 Full adder 4개를 결합하여 4bit Adder를 만들었고 여기에 보수의 개념을 ... Binary to BCD코드를 모델심에서 시뮬레이션을 돌려보았다.Pin Planner에 FPGA보드에 해당하는 Pin을 입력하여FPGA보드에 연결하여 올바르게 작동하는지 확인하였다.토의이번
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 인공지능(AI) 반도체기술의 부상배경과 관련 기업의 기술개발 현황 그리고 향후 기술개발을 위한 시사점
    또한 사람이 고려하지 못한 부분까지 AI가 학습을 하기에 그 적용 범위가 넓어졌다.기계학습 중 특히 주목받고 있는 개념이 딥 러닝이다. ... FPGA / 라. ASIC / 마. 뉴로모픽Ⅳ. 인공지능 반도체 기업의 기술개발 현황1. 독자적인 인공지능 기술 지원가. 애플, 애플 뉴럴 엔진나. 퀄컴, 스냅드래곤 835다. ... IT 업계뿐만이 아니라 금융, 농업, 자동차, 물류 등 IT 융복합이 활발히 이루어지는 분야의 기업들도 인공의 코어를 탑재하여 대규모 데이터 연산 시 CPU 대비 성능이 우수함- FPGA
    리포트 | 19페이지 | 3,300원 | 등록일 2019.08.08
  • Lab#04 Combinational Logic Design 1
    2,-1,0,1의 값을 취할 수 있기 때문에 보수의 개념을 사용하여 계산을 할 수 있다. ... 이를 핀 설정해 주고, FPGA에 프로그래밍 하여 결과 값을 도입해보았다.다. Inlab3. ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • FPGA 트레이닝키트 사용 (결과보고서)
    Evaluation이번 실험은 크게 본다면 크게 어려운 개념은 아니었다. 하지만 처음 다루어보는 것이었기 때문에 차례대로 짚어가며 실험을 해나가는데 생각보다 진척이 더뎠다. ... Pin을 할당하는 것에 대해 처음에는 어떠한 개념인지 모르고 그냥 pdf파일에 나온 그대로 할당을 해주었고 manual에 나온 것이 뭔지 생각을 해보지 않았으나 나중에는 이것이 실제 ... 대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.프로그램이 가능한
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.25
  • 기업의 사회적 책임(CSR)의 개념을 설명하고 구체적인 사례를 들어 중요성을 설명하시오
    나아가 Intel은 FPGA로 유명한 통신기업인 Altera에 대한 합병을 2015년에 발표하고 2016년 초에 합병작업이 모두 마무리가 되었기 때문에 올해 FPGA사업에 있어서 많은 ... - 기업의 사회적 책임(CSR)의 개념을 설명하고 구체적인 사례를 들어 중요성을 설명하시오 -I. ... 경제적 성과를 구분할 수 없을 정도로 두 개념이 밀도 있게 결합되어 있다는 평가가 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2018.04.30
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대