• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(118)
  • 리포트(103)
  • 자기소개서(12)
  • 방송통신대(2)
  • 논문(1)

"FPGA 개념" 검색결과 61-80 / 118건

  • 전전컴설계실험2-7주차결과
    검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10. ... 결과의 해석 및5.결론(Conclusion)6.참고문헌(References)1.Introduction.(1)Purpose of this Lab이번 실험은 조합 논리 회로에 대해 기본 개념
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • FPGA의 이해와 기초
    새로운 개념의 PLD를 만들었는데 그 제품이 바로 FPGA이며 그떄 몇 명의 엔지니어는 자일링스의 창업자가 되었다. ... 이와 달리 FPGA는 주어진 로직 중 AND, OR, NOT 게이트에 대한 진리표를 작성하여 로직을 구성한다.FPGA part number 읽는 방법유저입장에서 Xilinx FPGA ... FPGA와 CPLD가 어떻게 로직을 구성하는지 이해를 하면 FPGA가 어떻게 구성되고 FPGA를 설계하는데 필요한 여러 용어들을 쉽게 이해할 수 있다.다음 그림과 같은 로직을 설계한다고
    리포트 | 16페이지 | 2,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • 전전컴설계실험2-12주차 결과
    학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.11.18-12주차 실험--목차-서론(Introduction)-실험목적-실험을 위해 필수 배경이론, 개념-실험의 ... 검색된 FPGA 모듈에 Text LCD Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-6주차예비
    이 점을 이용해 감산논리회로를 코드로 구현하고, FPGA모듈에 프로그래밍하여, 시뮬레이션과 하드웨어 장비동작으로 검증해본다. ... 그리고 Comparator의 개념과 구현방법을 이해하여 1-bit Comparator와 4-bit Comparator를 설계해본다.(2)Essential Backgrounds for ... Comparator학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.10.7-6주차 실험--목차-서론(Introduction)-실험목적-실험을 위해 필수 배경이론, 개념-실험의
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • led전광판 자료조사
    아래의 그림 1은 FPGA개념적인 구조도를 보여준다. 그림의 logic block에는 디지털 회로를 구현할 수 있는 데이트,F/F,멀티플렉서 등이 배치되어 있다. ... 차이가 있다면 C 언어는 소프트웨어의 특성상 모든 문장이 순차 구문으로만 구성된 반면 VHDL은 순차 구문 이외에 병렬 구문과 하드웨어 특유의 시간(timing) 개념이 있다는 것이다 ... 오늘날에는 디지털 회로의 설계, 검증, 구현등의 모든 용도로 사용하고 있다.VHDL은 Ada 프로그래밍 언어의 부분집합에 디지털 회로에 필수적인 시간 개념을 추가하는 방식으로 만들어졌으나
    리포트 | 3페이지 | 2,500원 | 등록일 2011.11.20
  • 전전컴설계실험2-10주차 결과
    학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.11.4-10주차 실험--목차-서론(Introduction)-실험목적-실험을 위해 필수 배경이론, 개념-실험의 ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10. ... 실제 장비의 FPGA Module에 프로그래밍하여 동작을 확인하기 위해 IMPACT를 실행한다.10.
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-4주차결과
    학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.9.23-4주차 실험--목차-서론(Introduction)-실험목적-실험을 위해 필수 배경이론, 개념-실험의 ... 그 다음에 실제 하드웨어 동작 상황에 대한 시뮬레이션.설계파일을 FPGA 모듈에 탑재시켜 HBE-ComboII-SE 장비 내에서 물리적으로 입출력을 구현한다.(2)Essential ... Adder2진 신호(0,1)에 대하여 2개의 입력과 2개의 출력을 가지고 출력 신호가 입력 신호에 대하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로이다.입력 논리 요소 (FPGA
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전지전자기초실험 연산 회로 설계 실험 결과레포트
    c_ix_0 -x_i-1 , y_0 -y_i-1및c_0에 대해서 위의c_i가 전개될 때, 보다 복잡하게 소개가 되는데 실제로 복잡한 것을 XOR 전개로 얻어진다.아래 그림은 기본 개념을 ... 병렬로 연결 가능하기 때문에 전체 계산시간을 30ns로 줄일 수 있어 31개의 FA의 delay를 감소시킬 수 있다.④ MAX+PLUS Ⅱ > Floorplan Editor를 통해서 FPGA
    리포트 | 7페이지 | 1,000원 | 등록일 2017.12.01
  • VHDL에 관하여
    알고리즘 레 벨에 비교해 블럭의 개념을 포함하고 있는 레벨이다. ... 그러나 현재 널리 사용되고 있는 용어들이기 때문에 개념적으로 이해하기 바란다. 시스템 레벨은 가 장 추상화 정도가 높은 레벨이다. ... 이는 ASIC 뿐만 아니라 CPLD/FPGA에 광범위하게 이용할 수 있으며 또한 상대적으로 간단한 소규모 회로의 디자인에도 전혀 무리 없이 사용할 수 있다.회로도를 이용한 설계하드웨어
    리포트 | 8페이지 | 1,000원 | 등록일 2013.01.24
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호등 제어기 보고서
    FPGA를 이용한 디지털시스템 설계 REPORTTraffic Light Controller 설계1. ... 이를 설계하기 위해 유한상태머신이라는 개념을 이용할 것이다.유한상태머신(Finite State Machine)이란 단어 그대로 유한한 상태들로 구성된 기계라고 할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 실험2 제12주 Lab10 Pre TEXT LCD With Four Direction
    Summarize이번 실험은 기존에 해왔던 9번의 실험의 모든 개념을 집약시켜 놓은 동작회로이다. ... 설정해주고 각 Input에 맞게 동작하는 Logic을 설계한다.⑤ 각 Element에 알맞은 Pin number를 설정해주고 Configure Device(iMPACT)를 통해 FPGA에TEXT
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • 홍익대학교 기계시스템공학실험 미적분회로 설계 및 제어 실험보고서
    또한 내쇼날인스트루먼트는 디지털 전자 장치 및 FPGA 플러그인 보드를 플랫폼에 추가한다. ... 플러그인 보드와 Emona Instruments, Freescale Semiconductors, Quanser와 같은 타사의 코스웨어를 통해 텔레커뮤니케이션, 마이크로컨트롤러, 컨트롤 개념
    리포트 | 11페이지 | 2,000원 | 등록일 2017.02.23
  • FPGA(Field Programmable Gate Arrary)에 대하여 디지털회로실험 보고서
    디지털회로실험-FPGA(Field Programmable Gate Arrary)□ FPAG(Field Programmable Gate Arrary)의 개념FPGA는 Field Programmable ... 문제라고 할 수 있다.그림 FPGA 개념적 구조도FPGA(Field Programmable Gate Array)는 PAL(Programmable Array Logic)을 저밀도(low ... 또한 ASIC의 만들기 전에, 일단은 FPGA로 회로를 구현하여 테스트를 하는 목적으로도 대부분 FPGA를 사용하고 있으므로, ASIC엔지니어에게 있어서도 FPGA에 대한 이해는 중요한
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 예비보고서-Exp 8. Co-Simulation & Co-Emulation Using FPGA
    이 부분 즉, 설계의 앞분야 (Front-End)는 각 과정중 복잡도만 제외하면 ASIC,FPGA 개념은 모두 같다고 볼 수 있다. ... 즉, FPGA는 시뮬레이션시 시뮬레이션을 위한 타이밍의 예측이 쉽지만, ASIC은 FPGA처럼 쉽지만은 않다. ... Pre-Report1) Comparison between FPGA and ASIC우선 FPGA와 ASIC 각각에 대해서 간단히 알아보기로 하자.
    리포트 | 6페이지 | 2,000원 | 등록일 2010.10.09
  • VHDL을 활용한 도트매트릭스 문자 출력
    위의ㅣ 개념에서 확장하여 숫자, 특수문자를 추가하여 출력 가능토록 할 수 있고, 또는 도트 매트릭스도 확장하여서 한글작업고 가능하게 될 것이다.소스코드library ieee;use ... 제목Dot_Matrix를 활용한 LED 문자판▣개발내용사용 부품 : 8*8도트 매트릭스, 34핀 확장 커넥터, 34핀 확장 케이블,점퍼와이어, 브레드보드, 저항 (330Ω),Level-up QB-FPGA200EP2C-USB
    리포트 | 14페이지 | 1,000원 | 등록일 2014.05.15 | 수정일 2014.05.21
  • 6주차 예비보고서(외부 메모리 인터페이스)
    0V)4RSRegister Select (0 = instruction, 1 = data)5R/WRead/Write (0 = FPGA → LCD, 1 : FPGA → LCD)6EEnable ... 목 적외부 메모리 인터페이스에 대한 개념적 의미를 공부하고, 칩 외부에 별도의 주변장치들을 연결하기 위한 여러 사용방법을 이해 및 실습한다.2.
    리포트 | 12페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • 논리회로실험) 부울대수의 간소화(2) 예비보고서
    .- 시간에 대한 개념이 포함되었다.ex) # 값- 시스템 기능 연산자를 사용할 수 있다.2) Verilog HDL 사용 특징- 대문자와 소문자를 구분하며 예약어는 소문자를 사용한다 ... ( (1)(a + c) ) (by the complement property)4. f = b'(a + c) (by the identity property)* 실험 기기 및 부품- FPGA
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • [합격자소서]16년도 하반기 아이디스
    처음 보는 언어, C언어를 배우면서 알 수 없었던 Timing 개념의 부재 등이 난관이었지만 단계별 진행을 통해 해결할 수 있었고, 성공적으로 CPU를 설계할 수 있었습니다. ... 수행할 수 있는 CPU를 만드는 것이 목표였습니다.THUMB CPU의 명령어 디자인, Xilinx Vivado tool로 시뮬레이션을 통해 Logic을 검증, 이를 합성하여 실제 FPGA
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.12.13
  • 현대HDS 합격 자소서
    이를 계기로 저는 시스템 분야에 큰 관심을 가지게 되었고 이 분야에서의 경험을 큰 힘으로 여기고 있습니다.또한 OOAD 기반 소프트웨어 설계, 컴파일러 작성, VHDL을 통한 FPGA ... 이 경험을 바탕으로 고등학교 진학 후에는 JAVA, C/C++ 등 고급언어로 관심분야를 넓혔고 이후 컴퓨터공학과에 진학하였습니다.학년이 오를수록 심층 개념과 응용 기술을 배워가는 과정들은
    자기소개서 | 2페이지 | 3,000원 | 등록일 2013.07.20 | 수정일 2015.02.14
  • 무인 자동차의 과거 현재 미래
    무인 자동차 개념 및 원리먼저 무인자동차란 자율주행차량 중 사람이 타지 않은 채 주행하는 자동차를 칭한다. ... 그리고 연구팀은 CPU, FPGA(프로그래밍이 가능한 반도체), 각종 센서를 통해 입출력(I/O)된 정보를 받는 장치 등을 활용해 무인 자동차에 필요한 시스템을 구현했다.KAIST
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.15
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대