• 통큰쿠폰이벤트-통합
  • 통합검색(331)
  • 리포트(258)
  • 자기소개서(70)
  • 논문(2)
  • 이력서(1)

"Verilog 언어" 검색결과 21-40 / 331건

  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험14) 주파수 분주기 설계
    《 실험14 예비 보고서 》조제출일학과/학년학번이름2) 과 의 동작을 설명하고 시뮬레이션 결과를 나타내라.① 1/2 ^{4} 분주기? 코드? 테스트벤치 시뮬레이션5② 기타 비율 주파수 분주기? 코드? 테스트벤치 시뮬레이션《 실험14 결과 보고서 》조제출일학과/학년학번이..
    리포트 | 4페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [논리회로] D Flipflop 쿼터스 Verilog 언어로 설계, 회로도 및 시뮬레이션(동기식/비동기식)
    동기식 D flip-lop- 코드- 회로도- 시뮬레이션Clk의 positive edge마다 input값과 조건을 확인하고 output을 갱신.비동기식 리셋 D flip-lop- 코드- 회로도- 시뮬레이션Clk의 positive edge마다 input값과 조건을 확인하고..
    리포트 | 3페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • AMBA로 VERILOG , C언어를 통한 DOTMATRIX,LED,TEXTLCD,MOTOR 제어로 보일러 컨트롤러 구현
    1. Term project 제안 개요Term project 명* Boiler controller 구현목표* Text LCD를 사용하여 Controller 상태화면 구현* MOTOR를 사용한 온수 시스템 적용* Dot Matrix를 사용한 temperature 및 온..
    리포트 | 18페이지 | 3,900원 | 등록일 2011.11.03
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    디지털 회로 실험Final-term Project[ Stop_Watch 제작 ]R E P O R T*Professor*Major*Student No.*NameⅠ. 설계 specification 및 제한사항1) Finite State Machine 사용2) Clock은 ..
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 디지털 논리회로(verilog 언어 이용)의 뮤직박스 만들기, tool: Quartus , 사용장비 HBE-COMBOII
    리의 실습 목표에서는 하나의 ROM 안에 두 곡을 저장하여 이를 나누어 주어야 하는 것을 설계하여야 한다. 나는 이 음악에 할당되는 스위치를 클릭하였을 때 펄스(신호)를 발생시키어 하나의 클록을 생각하게끔 설계하였다. 만약 이를 고려 하지 않고 스위치를 눌렀을 때, 한..
    리포트 | 3,000원 | 등록일 2013.06.01
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    1. 2x4 Decoder① Dataflow Modeling코드컴파일 화면컴파일 후 Warning문장을 포함한 Message 화면총 4가지의 Warning문장이 나오는데 첫 번째는 단순히 소프트웨어 가입을 권하는 것이고, 2번째는Critical Warning으로 7개 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • Verilog 언어를 이용하여 지연시간을 코딩하고 클럭펄스에 대한 결과값 출력
    (Verilog 언어를 이용하여 Active-HDL 또는 Quartus의 출력을 제출)-Verilog Code-`timescale 1ns/100psmodule test_circuit_with_delay ... (Verilog 언어를 이용하여 Active-HDL 또는 Quartus의 출력을 제출)-Verilog Code-`timescale 1ns/100psmodule test_mux;reg ... 언어를 이용하여 Active-HDL 또는 Quartus의 출력을 제출)-Verilog Code-module encoder ( A0, A1, A2, A3, x, y, z);input
    리포트 | 4페이지 | 3,000원 | 등록일 2008.04.15
  • verilog로 구현한 디지털 도어락(c언어, 비주얼베이직을 이용하여 모델링)
    .....5. 작동 설명 1) 비밀번호 입력 시 - 초기 상태 값 - 0000_0000_0000 - 출력되는 값 중 enable = 1인 경우만 값 인정 - 나머지는 garbage로 처리 ※ Password ○ T..
    리포트 | 17페이지 | 2,500원 | 등록일 2006.12.05
  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    ("Verilog HDL"32Bit Arithmetic Logic Unit(ALU) 설계하기 )담 당학 과학 번성 명제출일32Bit Arithmetic Logic Unit(ALU) ... 설계하기1.Verilog-HDL 코딩//32Bit ALU는 기본ALU_unit 31개와 최상위에서 overflow를 detection하는 31번째ALU_unit31////1개의 Unit가
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • Verilog Basic, FPGA, 시프트 레지스터 카운터 예비레포트
    관련 이론1) Verilog Basic, FPGA- Verilog의 구조(1) 시작부분 module의 선언module은 Verilog에서 기본 설계 단위이며 이를 통해 다른 모듈을 ... Verilog Basic, FPGA시프트 레지스터 카운터예비레포트1. 실험 제목1) Verilog Basic, FPGA2) 시프트 레지스터 카운터2. ... {모듈 이름} ({port 목록});이후에 module 맨 마지막에 endmodule로 module의 끝을 알려야 한다.(2) pin 선언module에서 필요한 것들을 선언한다.C언어에서
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서는 verilog HDL 언어의 기본 사용법을 익힌다. ... VHDL은 두 가지 중 더 오래된 버전이며, Ada와 Pascal을 기반으로 두 언어의 특성을 상속받았다. Velilog는 비교적 최신이며, C 프로그래밍 언어의 방식을 따른다. ... 에서 for문, if문의 사용법에 대하여 조사하시오거의 모든 부분이 c언어에서의 활용과 비슷하지만, 한가지 다른 부분이 존재한다. c언어에서는 수식 부분을 대괄호’{ }’를 활용하여
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    어셈블리 언어는 Microoperation의 나열로 구성된다.예) 피보나치 수열을 계산하는 프로그램- C언어로 작성된 피보나치 수열 게산 프로그램- Assembly 언어로 작성된 피보나치 ... .- 하나의 프로그램 코드는 고급 언어(High Level Language)로 작성되어 Compiler, Linker에 의해 어셈블리 언어로 바뀐다. ... 연결하여 전체 Data Path 회로를 구현하고 결과를 시뮬레이션 한다.- Control Word 는 13bit 로 정의된다.이번 실험의 회로도 및 진리표이번 실험에서 작성하게 될 verilog
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 한양대 Verilog HDL 2
    언어이다.Verilog는 CLK에 따라 동시동작 하므로 동시성을 표현할 수 있고, 컴파일 과정이 우리가 알던 기존의 프로그래밍언어와는 다르지만 기본적인 문법은 C언어와 유사하다.반가산기를 ... 실험 목적Verilog HDL 1 실험 시간에서 배운 기초적인 Verilog 사용법을 응용하는 시간을 가진다. ... Half Adder과 Full Adder, sequential circuit인 D Flip-Flop과 SR Flip-Flop을 Verilog 로 표현하는 실험이다.Chapter 2.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.03.21
  • 한양대 Verilog HDL 1
    관련 이론Verilog 베릴로그는 IEEE 1364로 표준화된 것으로, 전자회로 및 시스템에 사용되는 하드웨어 기술 언어이다. ... FPGA나 집적 회로 등의 전자공학 회로를 설계하는 언어로, 회로도를 작성하는 대신 언어적인 형태로 전자 회로의 기능을 구성할 수 있다. ... 실험 목적Verilog HDL과 VHDL의 차이를 파악한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트
    {모듈 이름} ({port 목록});이후에 module 맨 마지막에 endmodule로 module의 끝을 알려야 한다.(2) pin 선언module에서 필요한 것들을 선언한다.C언어에서 ... Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증예비레포트1. 실험 제목1) Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증2. ... 관련 이론1) Verilog의 구조(1) 시작부분 module의 선언module은 Verilog에서 기본 설계 단위이며 이를 통해 다른 모듈을 포함하는 계층적 구성을 할 수 있다.module
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    Verilog HDL: 미 Cadence사 제품, 유연한 문법- 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C언어의 특징을 기반으로 ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... (IEEE 1076)- HDL 언어 방법이 풍부한 동시에 엄격하다.- 1993년에 보완되었고, 주로 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산기 모델링
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 전전설2 3주차 실험 결과레포트
    이 중 이번 실험에 사용하는 언어Verilog HDL이다. ... Verilog HDL은 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C언의 특징을 기반으로 개발해서호한다.결과적으로 두 언어의 합성 ... 실험목적 : 여러가지 Verilog HDL 언어의 기본 사용법을 익힌다.- 비트 단위 연산자를 이용하는 방법- Gate Primitive를 사용하는 방법- Behavioral modeling을
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 1 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. ... 구현 등의 용도로 사용가능하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작을
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    Verilog HDL: 미 Cadence사 제품, 유연한 문법- 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C언어의 특징을 기반으로 ... 실험의 목적Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... (IEEE 1076)- HDL 언어 방법이 풍부한 동시에 엄격하다.- 1993년에 보완되었고, 주로 학계에서 널리 사용된다.(2) Verilog 모델링 예시- 1-bit 반가산기 모델링
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    그리고 C언어와 유사하여 C언어에 능숙하다면 verilog를 배울 때 익숙함을 느낄 수 있는 장점이 있다. ... 이에 반해 verilog HDL은 보다 언어가 유연하고 간결한 장점이 있어 VHDL에 비해 코드를 더 쉽게 작성할 수 있다. ... In-Lab 실습 1 ~ 5 과제들을 Verilog HDL 언어로 코딩하고 synthesize - XST까지 실행하시오.3.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대