• 통큰쿠폰이벤트-통합
  • 통합검색(331)
  • 리포트(258)
  • 자기소개서(70)
  • 논문(2)
  • 이력서(1)

"Verilog 언어" 검색결과 41-60 / 331건

  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    단지 선을 연결하거나 and나 or등의 모듈로 나온 선을 잇는 것만 가능하다는 특징이 있다.Verilog에서 for문, if문의 사용법에 대하여 조사하시오.Verilog는 C언어와 ... 단점으로는 강력한 형식의 언어이기에 강력한 형식이 아닌 스크립트는 컴파일 할 수 없다.보조자료 Verilog-HDL 문법 pdf 자료를 읽으시오.본 실험에서 사용되는 Verilog-HDL ... 실험 목적본 실험은 비트 단위 연산자, Gate Primitive, Behavioral modeling 이 세가지의 Verilog HDL 언어의 기본 사용법을 통해 디지털 논리회를
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. ... 구현 등의 용도로 사용가능하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작을
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 2 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 실험 목적Verilog HDL을 통해 FPGA를 이용하여 Full adder와 D 플립플롭을 설계해본다.Chapter 2. ... 구현 등의 용도로 사용가능하다.- HDL을 사용해 설계를 할 경우 회로도 작성 대신 언어적인 형태로 전자회로의 기능을 구성한다.- 회로를 구성하는 Synthesis 부분과 회로의 동작을
    리포트 | 6페이지 | 2,500원 | 등록일 2023.02.28
  • 시립대 전전설2 A+ 2주차 예비레포트
    HDL1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C 언어의 특징을 기반으로 개발1991년 Cadence Design Systems가 ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... Spartan-7 XC7S75는 수 만개의 로직 셀을 포함4) HDL(Hardware Description Language)디지털시스템의 구조 및 동작을 기술(표현)할 수 있는 언어
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 디지털시스템실험 2주차 예비보고서
    HDL은 Cadence의 독점언어였고 Cadence는 Verilog HDL과 관련된 소프트웨어 상품 시장이 급속도로 성장할 것을 예상하여 공적인 영역으로 Verilog를 공개하였다 ... Hardware Description Language)로써 이는 미 국방성의 VHSIC(Very-High-Speed Integrated Circuits)프로그램의 일부분으로 개발된 언어이다 ... Verilog의 목적Verilog HDL은 하드웨어 설계자가 저수준(게이트.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    고찰이번 실험에서는 기초 Up-Counter를 verilog 언어로 구현하고 시뮬레이션 결과를 확인한 뒤, FPGA로 동작해 결과를 확인하였다. ... 그에 비해 Verilog HDL과 FPGA를 이용해 카운터를 설계할 때는 count = count + 1; 과 같이 간단한 코드로 논리를 만들 수 있어서 간편했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 온세미컨덕터 AE직무 인턴 합격자소서
    그럼에도 불구하고 저는 Verilog라는 언어를 포기하고 싶지 않았습니다. ... 하지만 당시, Verilog라는 언어가 잘 이해되지 않았고 그로 인해 프로젝트에서 좋은 점수를 받지 못했습니다. ... 그래서 그 후 Verilog의 기본 문법과 FSM의 설계를 스스로 공부해본 끝에, FPGA 보드와 Verilog를 이용한 cruise controller를 설계하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.12
  • 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    VHDL은 두 가지 중 더 오래된 버전이며, Ada와 Pascal을 기반으로 두 언어의 특성을 상속받았다. Velilog는 비교적 최신이며, C 프로그래밍 언어의 방식을 따른다. ... HDL 언어로 코딩하고 Synthesize - XST단계까지 실행하시오. ... 이 방식은 우리가 c언어에서 코딩이 순차적으로 수행이 되는 것을 생각하면 된다.하지만, 두 번째 경우는 수행이 non-blocking으로 이루어진다.설명하자면, a에 b라는 값이 10ns후에
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 시립대 전전설2 A+ 3주차 예비레포트
    따라서 변수 a에는 0이 할당되어 a값은 0이 될 것이다.4) In-Lab 실습1~실습3 과제를 Verilog HDL 언어로 코딩하고 simulation 단계까지 실행실습1logic_gate2 ... (C언어의 경우와 같음)expression1이 참(1, 즉 0, x 또는 z가 아닌 값)으로 평가되면 expression2의 값이 좌변의 변수에 할당expression1이 거짓(0) ... > D/E는 1 (소수점은 버림)> A+B는 4’0111> B-A는 4’b0111> E**F는 167) Relational & Logical & Equality OperatorsC언어에서와
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    Verilog HDL과 VHDL의 장단점Verilog HDL : C를 기반으로 하는 언어, 대소문자를 구분함, 전자시스템을 모델링하는데 사용되는 언어, VHDL보다 약한 형식, 패키지 ... In-Lab 실습 1~5 과제들을 Verilog HDL 언어로 코딩하고 Synthesize ? ... 기반으로 하는 언어, 대소문자를 구분하지 않음, 현장 설계 기능 게이트 어레이 및 집적회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 위해 전자 설계 자동화에 사용되는 언어,
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 정보통신기초 설계
    먼저 always @ (posedge clk)는 클럭이 positive일 때 항상 다음 조건을 수행한다는 뜻이고, 조건을 입력할 때 c언어에서 사용하였던 if문과 유사한 형식을 사용하였다.이번 ... 실험 목적주어진 NAND 게이트로 구성한 RS-F/F에 따라서 Verilog코드를 작성하고 이를 시뮬레이션하여 SR-F/F의 특성을 이해한다.주어진 D-F/F에 따라서 Verilog ... 이를 Verilog 코드로 나타낸 것은 다음과 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.21
  • 시립대 전전설2 A+ 7주차 예비레포트
    실험 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험Finite State Machine 등 설계 실습Mealy machine과 Moore machine ... Moore Machine6) Verilog Modeling for Mealy Machine2. ... 예를 들어, 아래 테스트 입력에서 빨간색 글자에서 output = 1이 됨.-> 테스트 입력: abaabaaabaabbabaa3) 아래 작성한 Moore 머신을 Verilog 코드로
    리포트 | 15페이지 | 2,000원 | 등록일 2024.09.08
  • 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    VerilogIEEE 1364로 표준화된 Verilog(베릴로그)는 전자회로와 시스템에 사용되는 하드웨어 기술 언어로, 회로 설계, 검증, 구현 등 여러 용도로 사용할 수 있다.C언어와 ... HDL전자공학에서 하드웨어 기술 언어(Hardware Description Language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. ... Verilog (03)Ⅱ. 본론 (03)1. 실험 장비 및 사용법 (03)1.1. Verilog HDL (04)1.1.1. Verilog 어휘 규칙 (04)1.1.2.
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    -Hardware Description Language(HDL)HDL 은 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... 방법으로 설계하시오.-(4) 교안의 1:4 Demux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- , , ,(5) 모든 실습에 대하여 Verilog
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    고찰Verilog를 사용한 디지털 논리회로의 작성은 c언어와 유사한 형식으로 작성된다. c언어에서는 변수 선언을 통해 함수의 입력 값을 결정한다면 verilog에서는 input ... Verilog의 편리한 점은 회로를 시각적으로 확인할 수 있고 testbench를 통하여 실제 입력값들을 디지털회로에 입력시켜보고 출력값을 확인할 수 있다는 점이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • Vivado를 이용한 Moore, Mealy FSM 설계 예비레포트
    C언어의 #define과 비슷한 표현이다.[4]parameter A = 100;//A를 100의 값을 가진 상수로 정의case:Verilog의 case는 C언어의 switch 문이 ... .- Verilog 문법parameter:모듈 내에서 상수를 정의하는 수단이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 서울시립대학교 전전설2 4주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 Combinational Logic을 설계 및 실험한다. 연산 로직, 비교기 등을 설계한다. ... /verilog/verilog-initial-block" https://www.chipverify.com/verilog/verilog-initial-block (verilog initial ... /port-mapping-for-module-instantiation-in-verilog/PAGE \* MERGEFORMAT2PAGE \* MERGEFORMAT2
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험하고, Finite State Machine 등을 설계 실습한 뒤, 로직을 시뮬레이션하기 ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... Digital Design with an Introduction to the Verilog HDL.5) 한빛미디어. IT CookBook, 디지털 논리회로.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로설계실험 2주차 XNOR gate 설계
    Behavioral model은 C언어와 유사하게 조건문을 사용하여 case by case로 분기한 형태를 나타내고 있다. ... 실습을 진행하면서 Verilog 문법과 wire가 어떻게 연결되어 작동하는지, Modelsim 프로그램의 기초적인 사용 방법을 익힐 수 있었다. ... 1) Objective of the Experiment(실험 목적)이번 실험의 목적은 Truth table과 Boolean expression으로 나타내고 Verilog 코드를 구현하는
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대